Xiaohang Wang

Orcid: 0000-0002-2263-5643

Affiliations:
  • South China University of Technology, School of Software Engineering, Guangzhou, China
  • Chinese Academy of Science, Guangzhou Institute of Advanced Technology, China (former)
  • Zhejiang University, Department of Information Science and Electronic Engineering, Hangzhou, China (PhD 2011)
  • University of Nevada, Department of Electrical and Computer Engineering, Las Vegas, NV, USA (2008 - 2010)


According to our database1, Xiaohang Wang authored at least 88 papers between 2009 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Detection of Thermal Covert Channel Attacks Based on Classification of Components of the Thermal Signal Features.
IEEE Trans. Computers, April, 2023

Modeling and Analysis of Thermal Covert Channel Attacks in Many-core Systems.
IEEE Trans. Computers, February, 2023

Adaptive Caching Policies for Chiplet Systems Based on Reinforcement Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

2022
Secured Data Transmission Over Insecure Networks-on-Chip by Modulating Inter-Packet Delays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Combating Stealthy Thermal Covert Channel Attack With Its Thermal Signal Transmitted in Direct Sequence Spread Spectrum.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Detection of and Countermeasure Against Thermal Covert Channel in Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Performance Optimization of Many-Core Systems by Exploiting Task Migration and Dark Core Allocation.
IEEE Trans. Computers, 2022

On a Consistency Testing Model and Strategy for Revealing RISC Processor's Dark Instructions and Vulnerabilities.
IEEE Trans. Computers, 2022

Data streaming and traffic gathering in mesh-based NoC for deep neural network acceleration.
J. Syst. Archit., 2022

Design Challenges of Intrachiplet and Interchiplet Interconnection.
IEEE Des. Test, 2022

Chapter Six - Approximate communication for energy-efficient network-on-chip.
Adv. Comput., 2022

In-Network Accumulation: Extending the Role of NoC for DNN Acceleration.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Fan Speed Control Based Defence for Thermal Covert Channel Attacks in Multi-Core Systems.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Upward Packet Popup for Deadlock Freedom in Modular Chiplet-Based Systems.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

On Evaluation of On-chip Thermal Covert Channel Attacks.
Proceedings of the International Conference on Compilers, 2022

2021
A Deflection-Based Deadlock Recovery Framework to Achieve High Throughput for Faulty NoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

On Performance Optimization and Quality Control for Approximate-Communication-Enabled Networks-on-Chip.
IEEE Trans. Computers, 2021

An enhanced planned obsolescence attack by aging networks-on-chip.
J. Syst. Archit., 2021

Evolution of Publications, Subjects, and Co-Authorships in Network-on-Chip Research From a Complex Network Perspective.
IEEE Access, 2021

A Methodology for Simulating Multi-chiplet Systems Using Open-source Simulators.
Proceedings of the NANOCOM '21: The Eighth Annual ACM International Conference on Nanoscale Computing and Communication, Virtual Event, Italy, September 7, 2021

Trends and Challenges in Ensuring Security for Low-Power and High-Performance Embedded SoCs.
Proceedings of the 14th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2021

Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives.
Proceedings of the CODES/ISSS 2021, 2021

2020
Achieving Flexible Global Reconfiguration in NoCs Using Reconfigurable Rings.
IEEE Trans. Parallel Distributed Syst., 2020

Aggressive Fine-Grained Power Gating of NoC Buffers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Combating Enhanced Thermal Covert Channel in Multi-/Many-Core Systems With Channel-Aware Jamming.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

On hardware-trojan-assisted power budgeting system attack targeting many core systems.
J. Syst. Archit., 2020

DATE: Defense Against TEmperature Side-Channel Attacks in DVFS Enabled MPSoCs.
CoRR, 2020

Dynamic Allocation/Reallocation of Dark Cores in Many-Core Systems for Improved System Performance.
IEEE Access, 2020

Improving the Performance of a NoC-based CNN Accelerator with Gather Support.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

Efficient On-Chip Multicast Routing based on Dynamic Partition Merging.
Proceedings of the 28th Euromicro International Conference on Parallel, 2020

An Approximate Multiplane Network-on-Chip.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

User Interaction Aware Reinforcement Learning for Power and Thermal Efficiency of CPU-GPU Mobile MPSoCs.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

CDRing: Reconfigurable Ring Architecture by Exploiting Cycle Decomposition of Torus Topology.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

On Countermeasures Against the Thermal Covert Channel Attacks Targeting Many-core Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
On Runtime Communication and Thermal-Aware Application Mapping and Defragmentation in 3D NoC Systems.
IEEE Trans. Parallel Distributed Syst., 2019

A Non-Minimal Routing Algorithm for Aging Mitigation in 2D-Mesh NoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

A Lifetime Reliability-Constrained Runtime Mapping for Throughput Optimization in Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

An Approximate Bufferless Network-on-Chip.
IEEE Access, 2019

EdgeCoolingMode: An Agent Based Thermal Management Mechanism for DVFS Enabled Heterogeneous MPSoCs.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

ACDC: An Accuracy- and Congestion-aware Dynamic Traffic Control Method for Networks-on-Chip.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

DeadPool: Performance Deadline Based Frequency Pooling and Thermal Management Agent in DVFS Enabled MPSoCs.
Proceedings of the 6th IEEE International Conference on Cyber Security and Cloud Computing, 2019

RewardProfiler: A Reward Based Design Space Profiler on DVFS Enabled MPSoCs.
Proceedings of the 6th IEEE International Conference on Cyber Security and Cloud Computing, 2019

Effect of Hardware Trojan Attacks on the Performance of On-Chip Multicast Routing Algorithms.
Proceedings of the IEEE 9th Annual Computing and Communication Workshop and Conference, 2019

2018
Bubble Budgeting: Throughput Optimization for Dynamic Workloads by Exploiting Dark Cores in Many Core Systems.
IEEE Trans. Computers, 2018

Effectiveness of HT-assisted sinkhole and blackhole denial of service attacks targeting mesh networks-on-chip.
J. Syst. Archit., 2018

A Survey of System Level Power Management Schemes in the Dark-Silicon Era for Many-Core Architectures.
EAI Endorsed Trans. Ind. Networks Intell. Syst., 2018

On a New Hardware Trojan Attack on Power Budgeting of Many Core Systems.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Exploiting Dark Cores for Performance Optimization via Patterning for Many-core Chips in the Dark Silicon Era.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

Improving the efficiency of thermal covert channels in multi-/many-core systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

A Survey of Low Power Design Techniques for Last Level Caches.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

2017
HRC: A 3D NoC Architecture with Genuine Support for Runtime Thermal-Aware Task Management.
IEEE Trans. Computers, 2017

On Runtime Communication- and Thermal-aware Application Mapping in 3D NoC.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017

ABDTR: Approximation-Based Dynamic Traffic Regulation for Networks-on-Chip Systems.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Throughput Optimization for Lifetime Budgeting in Many-Core Systems.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Runtime task mapping for lifetime budgeting in many-core systems.
Proceedings of the 2017 Forum on Specification and Design Languages, 2017

Building temperature-insensitive nanoscale CMOS circuits with adaptive voltage power supplies.
Proceedings of the 30th IEEE Canadian Conference on Electrical and Computer Engineering, 2017

2016
Defragmentation for Efficient Runtime Resource Management in NoC-Based Many-Core Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2016

On Fine-Grained Runtime Power Budgeting for Networks-on-Chip Systems.
IEEE Trans. Computers, 2016

Adaptive Routing Algorithms for Lifetime Reliability Optimization in Network-on-Chip.
IEEE Trans. Computers, 2016

A pareto-optimal runtime power budgeting scheme for many-core systems.
Microprocess. Microsystems, 2016

On runtime adaptive tile defragmentation for resource management in many-core systems.
Microprocess. Microsystems, 2016

A lightweight metric for the evaluation of network congestion in NoC-based MPSoC.
Proceedings of the International SoC Design Conference, 2016

2015
An efficient runtime power allocation scheme for many-core systems inspired from auction theory.
Integr., 2015

Dynamic Application Mapping Algorithm for Wireless Network-on-Chip.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015

DeFrag: Defragmentation for Efficient Runtime Resource Allocation in NoC-Based Many-core Systems.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015

Unbiased Regional Congestion Aware Selection Function for NoCs.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Fine-grained runtime power budgeting for networks-on-chip.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation.
ACM Trans. Embed. Comput. Syst., 2014

Dynamic Programming-Based Lifetime Reliability Optimization in Networks-on-Chip.
Proceedings of the VLSI-SoC: Internet of Things Foundations, 2014

Dynamic programming-based lifetime aware adaptive routing algorithm for Network-on-Chip.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Adaptive power allocation for many-core systems inspired from multiagent auction model.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Agile frequency scaling for adaptive power allocation in many-core systems powered by renewable energy sources.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Avoiding request-request type message-dependent deadlocks in networks-on-chips.
Parallel Comput., 2013

Efficient multicast schemes for 3-D Networks-on-Chip.
J. Syst. Archit., 2013

Energy Efficient Run-Time Incremental Mapping for 3-D Networks-on-Chip.
J. Comput. Sci. Technol., 2013

On self-tuning networks-on-chip for dynamic network-flow dominance adaptation.
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013

On multicast for dynamic and irregular on-chip networks using dynamic programming method.
Proceedings of the Network on Chip Architectures, 2013

A low cost, high performance dynamic-programming-based adaptive power allocation scheme for many-core architectures in the dark silicon era.
Proceedings of the 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013

A Fault-Tolerant Routing Algorithm for NoC Using Farthest Reachable Routers.
Proceedings of the IEEE 11th International Conference on Dependable, 2013

2011
On an efficient NoC multicasting scheme in support of multiple applications running on irregular sub-networks.
Microprocess. Microsystems, 2011

A networks-on-chip emulation/verification framework.
Int. J. High Perform. Syst. Archit., 2011

Low latency and energy efficient multicasting schemes for 3D NoC-based SoCs.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Power-Aware Run-Time Incremental Mapping for 3-D Networks-on-Chip.
Proceedings of the Network and Parallel Computing - 8th IFIP International Conference, 2011

2010
A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints.
ACM Trans. Archit. Code Optim., 2010

Efficient multicasting scheme for irregular mesh-based NoCs.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

An Efficient Technique for In-order Packet Delivery with Adaptive Routing Algorithms in Networks on Chip.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

2009
A networks-on-chip architecture design space exploration - The LIB.
Comput. Electr. Eng., 2009

A NoC Emulation/Verification Framework.
Proceedings of the Sixth International Conference on Information Technology: New Generations, 2009


  Loading...