Y. Yao

According to our database1, Y. Yao authored at least 7 papers between 1989 and 2012.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
Capacity analysis for multiple-input multiple-output relay system in a low-rank line-of-sight environment.
IET Commun., 2012

2009
Analytical models of blocking probability for multi-granularity cross-connect-based optical networks.
IET Commun., 2009

2008
Enhancement of the light output of GaN-based light-emitting diodes using surface-textured indium-tin-oxide transparent ohmic contacts.
Displays, 2008

2007
Improvement in performance of GaN-based light-emitting diodes with indium tin oxide based transparent ohmic contacts.
Displays, 2007

2005
GaN nanodot fabrication by implant source growth.
Microelectron. J., 2005

1991
Intelligent Design for Manufacturing Employing Knowledge Based Expert Systems.
Proceedings of the Information Technology for Advanced Manufacturing Systems, 1991

1989
Personal Transfer Supporting Equipment for the Handicapped.
Proceedings of the IEEE International Workshop on Intelligent Robots and Systems '89, 1989


  Loading...