Yijie Huangfu

According to our database1, Yijie Huangfu authored at least 18 papers between 2013 and 2018.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
Estimating the Worst-Case Execution Time of the Shared Data Cache in Integrated CPU-GPU Architectures.
J. Comput. Sci. Eng., 2018

WCET Analysis of GPU L1 Data Caches.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

2017
Enhancing GPU Performance by Efficient Hardware-Based and Hybrid L1 Data Cache Bypassing.
J. Comput. Sci. Eng., 2017

Warp-Based Load/Store Reordering to Improve GPU Time Predictability.
J. Comput. Sci. Eng., 2017

Static WCET Analysis of GPUs with Predictable Warp Scheduling.
Proceedings of the 20th IEEE International Symposium on Real-Time Distributed Computing, 2017

Leakage energy reduction for hard real-time caches.
Proceedings of the 2017 IEEE High Performance Extreme Computing Conference, 2017

WCET analysis of the shared data cache in integrated CPU-GPU architectures.
Proceedings of the 2017 IEEE High Performance Extreme Computing Conference, 2017

2016
Warp-Based Load/Store Reordering to Improve GPU Data Cache Time Predictability and Performance.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

2015
Profiling-based L1 data cache bypassing to improve GPU performance and energy efficiency.
SIGBED Rev., 2015

Real-Time GPU Computing: Cache or No Cache?
Proceedings of the IEEE 18th International Symposium on Real-Time Distributed Computing, 2015

Hardware-Based Performance Enhancement Guaranteed Caches.
Proceedings of the IEEE 18th International Symposium on Real-Time Distributed Computing, 2015

Hardware-Based and Hybrid L1 Data Cache Bypassing to Improve GPU Performance.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Boosting GPU Performance by Profiling-Based L1 Data Cache Bypassing.
Proceedings of the 15th IEEE/ACM International Symposium on Cluster, 2015

2014
PEG-C: Performance Enhancement Guaranteed Cache for Hard Real-Time Systems.
IEEE Embed. Syst. Lett., 2014

Compiler-directed leakage energy reduction for instruction scratch-pad memories.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

A Real-Time Instruction Cache with High Average-Case Performance.
Proceedings of the 17th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2014

Worst-case performance guaranteed data cache.
Proceedings of the IEEE 33rd International Performance Computing and Communications Conference, 2014

2013
Compiler-based approach to reducing leakage energy of instruction scratch-pad memories.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013


  Loading...