Ying Teng

Orcid: 0000-0002-7198-4823

According to our database1, Ying Teng authored at least 16 papers between 2002 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Does writing style affect gender differences in the research performance of articles?: An empirical study of BERT-based textual sentiment analysis.
Scientometrics, April, 2023

2020
Optimization Model and Algorithm for Dockless Bike-Sharing Systems Considering Unusable Bikes in China.
IEEE Access, 2020

Metaheuristic for Solving Multi-Objective Job Shop Scheduling Problem in a Robotic Cell.
IEEE Access, 2020

2016
Noninvasive temperature and velocity mapping using magnetic resonance imaging.
J. Vis., 2016

2015
ROA-Brick Topology for Low-Skew Rotary Resonant Clock Network Design.
IEEE Trans. Very Large Scale Integr. Syst., 2015

2014
Frequency-centric resonant rotary clock distribution network design.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

2013
Resonant frequency divider design methodology for dynamic frequency scaling.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Rotary traveling wave oscillator frequency division at nanoscale technologies.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Sparse-rotary oscillator array (SROA) design for power and skew reduction.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
A Reconfigurable Clock Polarity Assignment Flow for Clock Gated Designs.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Clock mesh synthesis method using the Earth Mover's Distance under transformations.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Synchronization scheme for brick-based rotary oscillator arrays.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

2011
Process variation sensitivity of the Rotary Traveling Wave Oscillator.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

ROA-brick topology for rotary resonant clocks.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

2010
Look-Up Table Based Low Power Rotary Traveling Wave Oscillator Design Considering the Skin Effect.
J. Low Power Electron., 2010

2002
Dynamic group support in LANMAR routing ad hoc networks.
Proceedings of The Fourth IEEE Conference on Mobile and Wireless Communications Networks, 2002


  Loading...