Young Woo Choi

According to our database1, Young Woo Choi authored at least 4 papers between 2013 and 2016.

Collaborative distances:
  • Dijkstra number2 of six.
  • Erdős number3 of five.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
Micro-Doppler simulator of bulk and micro motions for vehicle drivers.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2016

Experimental measurements of multi-carrier based Doppler heartrate tracker.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Design and implementation of high speed A/D converter using time interleaving.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2015

2013
Step frequency multi carrier algorithm for highspeed and high-accuracy radio frequency nondestructive road test.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2013


  Loading...