Alice Wang

Orcid: 0000-0001-8827-3780

According to our database1, Alice Wang authored at least 64 papers between 1999 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Episodes Discovery Recommendation with Multi-Source Augmentations.
CoRR, 2023

A Review of Social Media Data Utilization for the Prediction of Disease Outbreaks and Understanding Public Perception.
Big Data Cogn. Comput., 2023

Improving Content Retrievability in Search with Controllable Query Generation.
Proceedings of the ACM Web Conference 2023, 2023

A 2.19µW Self-Powered SoC with Integrated Multimodal Energy Harvesting, Dual-Channel up to -92dBm WRX and Energy-Aware Subsystem.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

Graph Learning for Exploratory Query Suggestions in an Instant Search System.
Proceedings of the 32nd ACM International Conference on Information and Knowledge Management, 2023

2022
Decoding the temporal dynamics of spoken word and nonword processing from EEG.
NeuroImage, 2022

Sequential Recommendation via Stochastic Self-Attention.
Proceedings of the WWW '22: The ACM Web Conference 2022, Virtual Event, Lyon, France, April 25, 2022

Identifying New Podcasts with High General Appeal Using a Pure Exploration Infinitely-Armed Bandit Strategy.
Proceedings of the RecSys '22: Sixteenth ACM Conference on Recommender Systems, Seattle, WA, USA, September 18, 2022

Time after Time: Longitudinal Trends in Nostalgic Listening.
Proceedings of the Sixteenth International AAAI Conference on Web and Social Media, 2022

ULP Receivers in Self-Powered Industrial loT Applications: Challenges and Prospects.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
PhycoCosm, a comparative algal genomics resource.
Nucleic Acids Res., 2021


A Fully Integrated 2.7µW -70.2dBm-Sensitivity Wake-Up Receiver with Charge-Domain Analog Front-End, -16.5dB-SIR, FEC and Cryptographic Checksum.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Session 2 Overview: Highlighted Chip Releases: 5G and Radar Systems Invited Papers.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Representation of Music Creators on Wikipedia, Differences in Gender and Genre.
Proceedings of the Fifteenth International AAAI Conference on Web and Social Media, 2021

Leveraging Semantic Information to Facilitate the Discovery of Underserved Podcasts.
Proceedings of the CIKM '21: The 30th ACM International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1, 2021

2020
Trajectory Based Podcast Recommendation.
CoRR, 2020

Do podcasts and music compete with one another? Understanding users' audio streaming habits.
Proceedings of the WWW '20: The Web Conference 2020, Taipei, Taiwan, April 20-24, 2020, 2020

27.1 A 65nm Energy-Harvesting ULP SoC with 256kB Cortex-M0 Enabling an 89.1µW Continuous Machine Health Monitoring Wireless Self-Powered System.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2018
EE2: Workshop on circuits for social good.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

2017
3.4 A 10nm FinFET 2.8GHz tri-gear deca-core CPU complex with optimized power-delivery network for mobile SoC performance.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
An RC Oscillator With Comparator Offset Cancellation.
IEEE J. Solid State Circuits, 2016

4.3 A 20nm 2.5GHz ultra-low-power tri-cluster CPU subsystem with adaptive power allocation for optimal mobile SoC performance.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Enabling simultaneously bi-directional TSV signaling for energy and area efficient 3D-ICs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Analog-digital partitioning and coupling in 3D-IC for RF applications.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

2015
23.3 A highly integrated smartphone SoC featuring a 2.5GHz octa-core CPU with advanced high-performance and low-power techniques.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
Modeling Bi-modality Improves Characterization of Cell Cycle on Gene Expression in Single Cells.
PLoS Comput. Biol., 2014

10.3 heterogeneous multi-processing quad-core CPU and dual-GPU design for optimal performance, power, and thermal tradeoffs in a 28nm mobile application processor.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
A 120nW 18.5kHz RC oscillator with comparator offset cancellation for ±0.25% temperature stability.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
The Effect of Random Dopant Fluctuations on Logic Timing at Low Voltage.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Introduction to the Special Issue on the 2011 IEEE International Solid-State Circuits Conference.
IEEE J. Solid State Circuits, 2012

A 28 nm 0.6 V Low Power DSP for Mobile Applications.
IEEE J. Solid State Circuits, 2012

2011
Cell Library Characterization at Low Voltage Using Non-linear Operating Point Analysis of Local Variations.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Design of "green" high-performance processor circuits.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 28nm 0.6V low-power DSP for mobile applications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2010
SmartReflex Power and Performance Management Technologies for 90 nm, 65 nm, and 45 nm Mobile Application Processors.
Proc. IEEE, 2010

Circuits for portable medical electronic systems.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

Non-linear Operating Point Statistical Analysis for Local Variations in logic timing at low voltage.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Asimov's first law/alarm clocks.
Proceedings of the 3rd International Conference on Tangible and Embedded Interaction 2009, 2009

Entitlement Management: Ready to Enter the IdM Mainstream.
Proceedings of the ISSE 2009, 2009

2008
A 45nm 3.5G Baseband-and-Multimedia Application Processor using Adaptive Body-Bias and Ultra-Low-Power Techniques.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2007
Assembling an expressive facial animation system.
Proceedings of the 2007 ACM SIGGRAPH Symposium on Video Games, Sandbox 2007, 2007

Power Distribution and Management.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2006
Sub-threshold Design for Ultra Low-Power Systems
Series on Integrated Circuits and Systems, Springer, ISBN: 978-0-387-34501-7, 2006

Sub-threshold design: the challenges of minimizing circuit energy.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

2005
Design Considerations for Ultra-Low Energy Wireless Microsensor Nodes.
IEEE Trans. Computers, 2005

A 180-mV subthreshold FFT processor using a minimum energy design methodology.
IEEE J. Solid State Circuits, 2005

Modeling and sizing for minimum energy operation in subthreshold circuits.
IEEE J. Solid State Circuits, 2005

2004
Design Considerations for Next Generation Wireless Power-Aware Microsensor Nodes.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Device sizing for minimum energy operation in subthreshold circuits.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

2003
An ultra-low voltage FFT processor using energy-aware techniques.
PhD thesis, 2003

Energy-aware architectures for a real-valued FFT implementation.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

2002
Energy-centric enabling tecumologies for wireless sensor networks.
IEEE Wirel. Commun., 2002

Energy scalable system design.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Energy-efficient DSPs for wireless sensor networks.
IEEE Signal Process. Mag., 2002

Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002

2001
Energy-Scalable Protocols for Battery-Operated MicroSensor Networks.
J. VLSI Signal Process., 2001

Low-Power Wireless Sensor Networks.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Physical layer driven protocol and algorithm design for energy-efficient wireless sensor networks.
Proceedings of the MOBICOM 2001, 2001

Energy efficient system partitioning for distributed wireless sensor networks.
Proceedings of the IEEE International Conference on Acoustics, 2001

2000
Algorithmic transforms for efficient energy scalable computation.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Energy-scalable algorithms and protocols for wireless microsensor networks.
Proceedings of the IEEE International Conference on Acoustics, 2000

A low power implementation of a W-CDMA receiver on an ultra low power DSP.
Proceedings of the Global Telecommunications Conference, 2000. GLOBECOM 2000, San Francisco, CA, USA, 27 November, 2000

1999
Design considerations for distributed microsensor systems.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999


  Loading...