Hyeong-Cheol Oh

According to our database1, Hyeong-Cheol Oh authored at least 16 papers between 1995 and 2019.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
Low-Cost Method for Recognizing Table Tennis Activity.
IEICE Trans. Inf. Syst., 2019

2018
LSTM-Guided Coaching Assistant for Table Tennis Practice.
Sensors, 2018

Pipelined Squarer for Unsigned Integers of Up to 12 Bits.
IEICE Trans. Inf. Syst., 2018

2015
Loeffler DCT accelerator for small portable devices.
IEICE Electron. Express, 2015

Design of a Loeffler DCT using Xilinx Vivado HLS (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

2013
Pipelined implementation of AI-based Loeffler DCT.
IEICE Electron. Express, 2013

2012
Handling Edge Lists in 2D Vector Graphics Hardware.
J. Circuits Syst. Comput., 2012

2011
Design of an OpenVG Hardware Rendering Engine.
IEICE Trans. Inf. Syst., 2011

Scanline-based rendering of 2D vector graphics.
IEICE Electron. Express, 2011

2010
Accelerating Java Interpretation in Low-Cost Embedded Processors.
J. Circuits Syst. Comput., 2010

An Accelerator for Rendering 2D Vector Graphics.
Proceedings of the 2010 International Conference on Computer Graphics & Virtual Reality, 2010

2005
A Low-Power DSP-Enhanced 32-Bit EISC Processor.
Proceedings of the High Performance Embedded Architectures and Compilers, 2005

A DSP-Enhanced 32-Bit Embedded Microprocessor.
Proceedings of the Embedded and Ubiquitous Computing, 2005

2002
Efficient Hardware Multiplicative Inverters.
Proceedings of the Information Security and Cryptology, 2002

1997
Universal Wormhole Routing.
IEEE Trans. Parallel Distributed Syst., 1997

1995
Packet Routing in Networks with Long Wires.
J. Parallel Distributed Comput., 1995


  Loading...