Timothy Martin

According to our database1, Timothy Martin authored at least 20 papers between 1999 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Integrating Machine-Learning Probes in FPGA CAD: Why and How?
IEEE Des. Test, October, 2023

FPGA Placement: Dynamic Decision Making Via Machine Learning.
Proceedings of the 36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2023

A Deep-Learning Data-Driven Approach for Reducing FPGA Routing Runtimes.
Proceedings of the International Conference on Field Programmable Technology, 2023

2022
Guiding FPGA Detailed Placement via Reinforcement Learning.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Integrating Machine-Learning Probes into the VTR FPGA Design Flow.
Proceedings of the 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2022

Faster FPGA Routing by Forecasting and Pre-Loading Congestion Information.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

An Adaptive Sequential Decision Making Flow for FPGAs using Machine Learning.
Proceedings of the International Conference on Microelectronics, 2022

2021
A Deep Learning Framework to Predict Routability for FPGA Circuit Placement.
ACM Trans. Reconfigurable Technol. Syst., 2021

Effective Machine-Learning Models for Predicting Routability During FPGA Placement.
Proceedings of the 3rd ACM/IEEE Workshop on Machine Learning for CAD, 2021

A Machine Learning Approach to Predict Timing Delays During FPGA Placement.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2021

Using Machine Learning to Predict Operating Frequency During Placement in FPGA Designs.
Proceedings of the International Conference on Microelectronics, 2021

2020
Machine Learning for Congestion Management and Routability Prediction within FPGA Placement.
ACM Trans. Design Autom. Electr. Syst., 2020

An Adaptive Analytic FPGA Placement Framework based on Deep-Learning.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

A Deep-Learning Framework for Predicting Congestion During FPGA Placement.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

2019
Novel Congestion-estimation and Routability-prediction Methods based on Machine Learning for Modern FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2019

A Flat Timing-Driven Placement Flow for Modern FPGAs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
GPlace3.0: Routability-Driven Analytic Placer for UltraScale FPGA Architectures.
ACM Trans. Design Autom. Electr. Syst., 2018

Machine-Learning Based Congestion Estimation for Modern FPGAs.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

2012
Evaluation of bluetooth low power for physiological monitoring in a home based cardiac rehabilitation program.
Proceedings of the Health Informatics: Building a Healthcare Future Through Trusted Information, 2012

1999
TEAM 21: A Framework for the Total Enterprise.
Proceedings of WebNet 99, 1999


  Loading...