Tun Li

Orcid: 0000-0001-7498-3909

According to our database1, Tun Li authored at least 54 papers between 2003 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Diffusion Model for Multimessage Multidimensional Complex Game Based on Rumor and Anti-Rumor.
IEEE Trans. Comput. Soc. Syst., October, 2023

A malware propagation prediction model based on representation learning and graph convolutional networks.
Digit. Commun. Networks, October, 2023

Diffusion Pixelation: A Game Diffusion Model of Rumor & Anti-Rumor Inspired by Image Restoration.
IEEE Trans. Knowl. Data Eng., May, 2023

Towards Accelerating Assertion Coverage Using Surrogate Logic Models.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

ESFO: Equality Saturation for FIRRTL Optimization.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

MMFuzz: Towards Enhancing RTL Fuzz Testing Using Metric Feedbacks Based on Markov Chain.
Proceedings of the 32nd IEEE Asian Test Symposium, 2023

2022
Recommendation Model Based on Dynamic Interest Group Identification and Data Compensation.
IEEE Trans. Netw. Serv. Manag., 2022

Grammar-based fuzz testing for microprocessor RTL design.
Integr., 2022

ICS-SVM: A user retweet prediction method for hot topics based on improved SVM.
Digit. Commun. Networks, 2022

Towards Implementing RTL Microprocessor Agile Design Using Feature Oriented Programming.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Symbolic Simulation Enhanced Coverage-Directed Fuzz Testing of RTL Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

On Enhancing Application-Ability Training in Discrete Mathematics.
Proceedings of the IEEE Frontiers in Education Conference, 2021

Rectified Multi-class AdaBoost for Noisy Dataset Based on Weight Adjustment Standard.
Proceedings of the ASSE 2021: 2nd Asia Service Sciences and Software Engineering Conference, 2021

2020
Edge Computing and Blockchain for Quick Fake News Detection in IoV.
Sensors, 2020

Towards functional verifying a family of systemC TLMs.
Frontiers Comput. Sci., 2020

Software testing without the oracle correctness assumption.
Frontiers Comput. Sci., 2020

Attack plan recognition using hidden Markov and probabilistic inference.
Comput. Secur., 2020

Compiling FL<sup>res</sup> on Finite Words.
Proceedings of the Dependable Software Engineering. Theories, Tools, and Applications, 2020

2019
Formal Equivalence Checking Between System-Level and RTL Descriptions without Pre-Given Mapping Information.
J. Circuits Syst. Comput., 2019

2016
Equivalence checking between SLM and RTL using machine learning techniques.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

2015
Equivalence checking between SLM and TLM using coverage directed simulation.
Frontiers Comput. Sci., 2015

Formal equivalence checking between SLM and RTL descriptions.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

Equivalence checking of scheduling in high-level synthesis.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

2013
Bounded Model Checking of ETL Cooperating with Finite and Looping Automata Connectives.
J. Appl. Math., 2013

Introduction to programming: science or art?
Proceedings of the Innovation and Technology in Computer Science Education conference 2013, 2013

Efficient translation validation of high-level synthesis.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Translation validation of scheduling in high level synthesis.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Application specified soft error failure rate analysis using sequential equivalence checking techniques.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
A bio-inspired self-organizing approach for multicellular embryonic architecture.
Proceedings of the 2012 NASA/ESA Conference on Adaptive Hardware and Systems, 2012

2011
Separation of communication and computation in SystemC/TLM modeling: A Feature-Oriented approach.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

FeatureVerilog: Extending Verilog to Support Feature-Oriented Programming.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

2010
Feature-Oriented Refactoring Proposal for Transaction Level Models in SoCLib.
Proceedings of the 2010 Forum on specification & Design Languages, 2010

An Approximate Soft Error Reliability Sorting Approach Based on State Analysis of Sequential Circuits.
Proceedings of the 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2010

On Soft Error Immunity of Sequential Circuits.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
The application of Aspectual Feature Module in the development and verification of SystemC models.
Proceedings of the Forum on specification and Design Languages, 2009

2008
2D Decomposition Sequential Equivalence Checking of System Level and RTL Descriptions.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Explicit Model Checking Based on Integer Pointer and Fibonacci Hash.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008

2007
Experiences Teaching Functional Verification Techniques with Practical Designs.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007

Fast Panorama Unrolling of Catadioptric Omni-Directional Images for Cooperative Robot Vision System.
Proceedings of the 11th International Conference on Computer Supported Cooperative Work in Design, 2007

Distributed Collaborative Partition Method of Reconfigurable SoC Using Ant Colony Optimization.
Proceedings of the 11th International Conference on Computer Supported Cooperative Work in Design, 2007

A Novel Collaborative Verification Environment for SoC Co-Verification.
Proceedings of the 11th International Conference on Computer Supported Cooperative Work in Design, 2007

Coverage Driven Test Generation Framework for RTL Functional Verification.
Proceedings of the 10th International Conference on Computer-Aided Design and Computer Graphics, 2007

2006
Scheduling of Transactions Based on Extended Scheduling Timed Petri Nets for SoC System-Level Test-Case Generation.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2006

2005
Applying Constraint Logic Programming to Predicate Abstraction of RTL Verilog Descriptions.
Proceedings of the MICAI 2005: Advances in Artificial Intelligence, 2005

MA2TG: A Functional Test Program Generator for Microprocessor Verification.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

Functional Vectors Generation for RT-Level Verilog Descriptions Based on Path Enumeration and Constraint Logic Programming.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

Predicate Abstraction of RTL Verilog Descriptions Using Constraint Logic Programming.
Proceedings of the Automated Technology for Verification and Analysis, 2005

Automatic functional test program generation for microprocessor verification.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Automatic Circuit Extractor for HDL Description Using Program Slicing.
J. Comput. Sci. Technol., 2004

Design and Implementation of a Parallel Verilog Simulator: PVSim.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Assertion-based automated functional vectors generation using constraint logic programming.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

CLP Based Static Property Checking.
Proceedings of the Automated Technology for Verification and Analysis: Second International Conference, 2004

Parallel verilog simulation: architecture and circuit partition.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
An Automatic Circuit Extractor for RTL Verification.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003


  Loading...