Werner Brockherde

Orcid: 0000-0002-1952-5646

According to our database1, Werner Brockherde authored at least 29 papers between 1990 and 2018.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
2×192 Pixel CMOS SPAD-Based Flash LiDAR Sensor with Adjustable Background Rejection.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
1×80 pixel SPAD-based flash LIDAR sensor with background rejection based on photon coincidence.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

Range accuracy of SPAD-based time-of-flight sensors.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

2015
A multi-functional 200, 000 lines/s tri-linear RGB line-scan sensor.
Proceedings of the 45th European Solid State Device Research Conference, 2015

2013
Speed considerations for LDPD based time-of-flight CMOS 3D image sensors.
Proceedings of the ESSCIRC 2013, 2013

2012
Low-noise and large-area CMOS SPADs with timing response free from slow tails.
Proceedings of the 2012 European Solid-State Device Research Conference, 2012

2011
CMOS 3D image sensor based on pulse modulated time-of-flight principle and intrinsic lateral drift-field photodiode pixels.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Modeling and calibration of 3D-Time-of-Flight pulse-modulated image sensors.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

2010
Large full-well capacity stitched CMOS image sensor for high temperature applications.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
Charge-injection photogate pixel fabricated in CMOS silicon-on-insulator technology.
Int. J. Circuit Theory Appl., 2009

Pulsed time-of-flight 3D-CMOS imaging using photogate-based active pixel sensors.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

Double modified internal gate (MIG) pixel for fluorescence imaging applications.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

2008
Time-of-Flight 3-D Imaging Pixel Structures in Standard CMOS Processes.
IEEE J. Solid State Circuits, 2008

Highly sensitive UV-enhanced linear CMOS photosensor.
Proceedings of the ESSCIRC 2008, 2008

2007
MOS-Capacitor based CMOS time-compression photogate pixel for time-of-flight imaging.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

SOI pixel detector based on CMOS time-compression charge-injection.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2004
A CMOS photodiode array with in-pixel data acquisition system for computed tomography.
IEEE J. Solid State Circuits, 2004

A single-chip optical CMOS detector with in-situ demodulating and integrating readout for next-generation optical storage systems.
IEEE J. Solid State Circuits, 2004

A 4×64 pixel CMOS image sensor for 3-D measurement applications.
IEEE J. Solid State Circuits, 2004

High-sensitivity, high-dynamic range 768 × 576 pixel CMOS image sensor.
Proceedings of the 33rd European Solid-State Circuits Conference, 2004

2003
A CMOS photodiode array with in-pixel data acquisition system.
Proceedings of the ESSCIRC 2003, 2003

A 4×64 pixel CMOS image sensor for 3D measurement applications.
Proceedings of the ESSCIRC 2003, 2003

2002
A 1 K×1 K high dynamic range CMOS image sensor with on-chip programmable region-of-interest readout.
IEEE J. Solid State Circuits, 2002

A new detector architecture for optical pickup units in DVD systems.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

1998
A 128-pixel CMOS image sensor with integrated analog nonvolatile memory.
IEEE J. Solid State Circuits, 1998

A 16×16 nonvolatile programmable analog vector-matrix multiplier.
IEEE J. Solid State Circuits, 1998

1994
CMOS magnetic-field sensor system.
IEEE J. Solid State Circuits, August, 1994

Interlaced Sampling for Noise Reduction.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1990
OASE: A Knowledge Based Environment for Analog Circuit Design.
Proceedings of the Rechnergestützter Entwurf und Architektur mikroelektronischer Systeme, 1990


  Loading...