Bedrich J. Hosticka

According to our database1, Bedrich J. Hosticka authored at least 81 papers between 1985 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 2010, "For contributions to analog integrated circuits and sensor systems".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Anti-Blooming Clocking for Time-Delay Integration CCDs.
Sensors, 2022

2020
Acquisition of Multiple Events in Direct Time-of-Flight LiDAR using Single-Photon Avalanche Diodes.
Proceedings of the 2020 IEEE Sensors, Rotterdam, The Netherlands, October 25-28, 2020, 2020

2018
Expected Value and Variance of the Indirect Time-of-Flight Measurement With Dead Time Afflicted Single-Photon Avalanche Diodes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

2017
Dead time effects in the indirect time-of-flight measurement with SPADs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

1×80 pixel SPAD-based flash LIDAR sensor with background rejection based on photon coincidence.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

Modelling of SPAD-based time-of-flight measurement techniques.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Range accuracy of SPAD-based time-of-flight sensors.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

2013
Speed considerations for LDPD based time-of-flight CMOS 3D image sensors.
Proceedings of the ESSCIRC 2013, 2013

2011
Optimization and implementation of continuous time DSP-systems by using granularity reduction.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Effects of jitter on continuous time digital systems with granularity reduction.
Proceedings of the 19th European Signal Processing Conference, 2011

CMOS 3D image sensor based on pulse modulated time-of-flight principle and intrinsic lateral drift-field photodiode pixels.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

A sequential method for noise estimation in switched-capacitor systems using a switching time-frequency domain.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

Modeling and calibration of 3D-Time-of-Flight pulse-modulated image sensors.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

Signal characterization of a pulsed-wire and heat flow system at a flow sensor.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

2010
Large full-well capacity stitched CMOS image sensor for high temperature applications.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
Charge-injection photogate pixel fabricated in CMOS silicon-on-insulator technology.
Int. J. Circuit Theory Appl., 2009

Pulsed time-of-flight 3D-CMOS imaging using photogate-based active pixel sensors.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

Double modified internal gate (MIG) pixel for fluorescence imaging applications.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

2008
Time-of-Flight 3-D Imaging Pixel Structures in Standard CMOS Processes.
IEEE J. Solid State Circuits, 2008

Highly sensitive UV-enhanced linear CMOS photosensor.
Proceedings of the ESSCIRC 2008, 2008

2007
A novel architecture for inductive proximity sensors using sigma delta modulation.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

Analog circuits for sensors.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

MOS-Capacitor based CMOS time-compression photogate pixel for time-of-flight imaging.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

Technology-caused performance limitation of the common-gate LNA.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

SOI pixel detector based on CMOS time-compression charge-injection.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Theoretical and practical minimum of the power Consumption of 3 ADCs in SC technique.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2006
A high dynamic range current-mode amplifier for computed tomography.
IEEE J. Solid State Circuits, 2006

2005
A high dynamic range, high linearity CMOS current-mode image sensor for computed tomography.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

2004
A CMOS photodiode array with in-pixel data acquisition system for computed tomography.
IEEE J. Solid State Circuits, 2004

A single-chip optical CMOS detector with in-situ demodulating and integrating readout for next-generation optical storage systems.
IEEE J. Solid State Circuits, 2004

A 4×64 pixel CMOS image sensor for 3-D measurement applications.
IEEE J. Solid State Circuits, 2004

High-sensitivity, high-dynamic range 768 × 576 pixel CMOS image sensor.
Proceedings of the 33rd European Solid-State Circuits Conference, 2004

2003
Resolution prediction for bandpass-Sigma-Delta-modulators using SIMULINK behavior simulation.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

High loop-filter-order ΣΔ-fractional-n frequency synthesizers for use in frequency-hopping-spread-spectrum communication-systems.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

A CMOS photodiode array with in-pixel data acquisition system.
Proceedings of the ESSCIRC 2003, 2003

A 4×64 pixel CMOS image sensor for 3D measurement applications.
Proceedings of the ESSCIRC 2003, 2003

2002
A 1 K×1 K high dynamic range CMOS image sensor with on-chip programmable region-of-interest readout.
IEEE J. Solid State Circuits, 2002

A new detector architecture for optical pickup units in DVD systems.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

2001
Invariant texture segmentation with reduced illumination sensitivity.
Signal Process., 2001

A programmable intraocular CMOS pressure sensor system implant.
IEEE J. Solid State Circuits, 2001

2000
A high-dynamic-range CMOS image sensor for automotive applications.
IEEE J. Solid State Circuits, 2000

Nonlinear function generation using oversampled ΣΔ-modulators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

Detection of Defective Sensor Elements Using Sigma-Delta-Modulation and a Matched Filter.
Proceedings of the 2000 Design, 2000

Wavelet-basiertes Verfahren zur selektiven Kompression medizinischer Bilddaten.
Proceedings of the Bildverarbeitung für die Medizin 2000: Algorithmen, 2000

1999
A high speed camera system based on an image sensor in standard CMOS technology.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Surveillance System Based on Detection and Tracking of Moving Objects Using CMOS Language.
Proceedings of the Computer Vision Systems, First International Conference, 1999

A Smart Airbag Solution Based on a High Speed CMOS Camera System.
Proceedings of the 1999 International Conference on Image Processing, 1999

Surveillance Sensor Systems Using CMOS Imagers.
Proceedings of the 1oth International Conference on Image Analysis and Processing (ICIAP 1999), 1999

A basic design guide for CMOS folding and interpolating A/D converters-overview and case study.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Hierarchical locally adaptive multigrid motion estimation for surveillance applications.
Proceedings of the 1999 IEEE International Conference on Acoustics, 1999

Rauschfilterung von echokardiographischen Bildsequenzen mit adaptiven Rangordnungsfiltern.
Proceedings of the Bildverarbeitung für die Medizin 1999: Algorithmen, 1999

1998
An unsupervised texture segmentation algorithm with feature space reduction and knowledge feedback.
IEEE Trans. Image Process., 1998

A combined hardware/software approach for fast kinematic processing.
Microprocess. Microsystems, 1998

A 128-pixel CMOS image sensor with integrated analog nonvolatile memory.
IEEE J. Solid State Circuits, 1998

A 16×16 nonvolatile programmable analog vector-matrix multiplier.
IEEE J. Solid State Circuits, 1998

A Motion Detection System based on a CMOS Photo Sensor Array.
Proceedings of the 1998 IEEE International Conference on Image Processing, 1998

Computergestützte Endokarderkennung echokardiographischer Bildsequenzen.
Proceedings of the Mustererkennung 1998, 20. DAGM-Symposium, Stuttgart, 29. September, 1998

1997
Orientation- and scale-invariant recognition of textures in multi-object scenes.
Proceedings of the Proceedings 1997 International Conference on Image Processing, 1997

Rotations- und skaleninvariante Textursegmentierung mit Mehrkanalfilterung.
Proceedings of the Mustererkennung 1997, 1997

1996
A comparison of texture feature extraction using adaptive gabor filtering, pyramidal and tree structured wavelet transforms.
Pattern Recognit., 1996

Hardware architecture of a neural net based retina implant for patients suffering from retinitis pigmentosa.
Proceedings of International Conference on Neural Networks (ICNN'96), 1996

A Novel Analog Module Generator Environment.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
Trimless high precision ratioed resistors in D/A and A/D converters.
IEEE J. Solid State Circuits, August, 1995

Unsupervised texture segmentation of images using tuned matched Gabor filters.
IEEE Trans. Image Process., 1995

Real-Time Application of Biology-Inspired Neural Networks Using and Emulator with Dedicated Communication Hardware.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

1994
CMOS magnetic-field sensor system.
IEEE J. Solid State Circuits, August, 1994

A CMOS floating-point vector-arithmetic unit.
IEEE J. Solid State Circuits, May, 1994

A Unified and Division-Free CORDIC Argument Reduction Method with Unlimited Convergence Domain Including Inverse Hyperbolic Functions.
IEEE Trans. Computers, 1994

Interlaced Sampling for Noise Reduction.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

An extension to the analytical Gabor expansion with applications in image coding.
Proceedings of ICASSP '94: IEEE International Conference on Acoustics, 1994

Application-independent hierarchical synthesis methodology for analogue circuits.
Proceedings of the Proceedings EURO-DAC'94, 1994

A parallel DSP-based neural network emulator with CMOS VLSI packet switching hardware.
Proceedings of the International Conference on Application Specific Array Processors, 1994

1993
Adaptive Gabor transformation for image processing.
IEEE Trans. Image Process., 1993

1992
Low Latency Time CORDIC Algorithms.
IEEE Trans. Computers, 1992

1991
An intelligent sensor integrated preprocessing facility for neural networks.
Microprocessing and Microprogramming, 1991

A new addition scheme and fast scaling factor compensation methods for CORDIC algorithms.
Integr., 1991

1990
Rotation- and translation-invariant pattern recognition based on distance transformations.
Proceedings of the Visual Communications and Image Processing '90: Fifth in a Series, 1990

1989
Erkennung handgeschriebener Ziffern mit Hilfe neuronaler Netze.
Proceedings of the Mustererkennung 1989, 1989

Sprecherunabhängige Spracherkennung mit neuronalen Netzen.
Proceedings of the Mustererkennung 1989, 1989

1986
Integration von Sensoren mit VLSI-Technologien.
Robotersysteme, 1986

1985
Performance comparison of analog and digital circuits.
Proc. IEEE, 1985


  Loading...