Woo-Cheol Cho

According to our database1, Woo-Cheol Cho authored at least 2 papers between 2012 and 2017.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of five.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2017
Scalable Bandwidth Shaping Scheme via Adaptively Managed Parallel Heaps in Manycore-Based Network Processors.
ACM Trans. Design Autom. Electr. Syst., 2017

2012
Analytical memory bandwidth model for many-core processor based systems.
IEICE Electron. Express, 2012


  Loading...