Eui-Young Chung

Orcid: 0000-0003-3463-5015

According to our database1, Eui-Young Chung authored at least 88 papers between 1999 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Unified Wear-Leveling Technique for NVM-Based Buffer of SSD.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Operand-Oriented Virtual Memory Support for Near-Memory Processing.
IEEE Trans. Computers, August, 2023

Page Type-Aware Data Migration Technique for Read Disturb Management of NAND Flash Memory.
IEEE Trans. Very Large Scale Integr. Syst., April, 2023

Developing a Job Search Platform for SMEs to Resolve Job Mismatch: A Case Study.
Int. J. Asian Bus. Inf. Manag., 2023

Adaptive Tag Comparison for Hybrid Cache.
Proceedings of the 20th International SoC Design Conference, 2023

2022
STT-MRAM-Based Multicontext FPGA for Multithreading Computing Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

2021
Quant-PIM: An Energy-Efficient Processing-in-Memory Accelerator for Layerwise Quantized Neural Networks.
IEEE Embed. Syst. Lett., 2021

Energy-Efficient Shared Cache Using Way Prediction Based on Way Access Dominance Detection.
IEEE Access, 2021

Cross-Corner Delay Variation Model for Standard Cell Libraries.
IEEE Access, 2021

2020
Multitoken-Based Power Management for NAND Flash Storage Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Per-Operation Reusability Based Allocation and Migration Policy for Hybrid Cache.
IEEE Trans. Computers, 2020

The necessity of anterior knowledge exchange activities for technological collaboration and innovation performance improvement.
Int. J. Technol. Manag., 2020

Exploring Replacement Policy for Memcached.
Proceedings of the International SoC Design Conference, 2020

Resource Utilization Optimized Design Method for Matched Filter of PSS Searcher.
Proceedings of the International SoC Design Conference, 2020

A Fast Full-System Simulation Environment for Memory System Evaluation.
Proceedings of the International SoC Design Conference, 2020

Asymmetric Prefetching Architecture for Multicore Processor.
Proceedings of the International SoC Design Conference, 2020

2019
A Novel NAND Flash Memory Architecture for Maximally Exploiting Plane-Level Parallelism.
IEEE Trans. Very Large Scale Integr. Syst., 2019

A Locality-Aware Compression Scheme for Highly Reliable Embedded Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

2018
ICS: Interrupt-Based Channel Sneaking for Maximally Exploiting Die-Level Parallelism of NAND Flash-Based Storage Devices.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Replacement Policy Adaptable Miss Curve Estimation for Efficient Cache Partitioning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

EXTREME: Exploiting Page Table for Reducing Refresh Power of 3D-Stacked DRAM Memory.
IEEE Trans. Computers, 2018

Asymmetric Slew Logic Threshold Method on Near Threshold Region.
Proceedings of the 7th International Conference on Software and Computer Applications, 2018

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA.
Proceedings of the 7th International Conference on Software and Computer Applications, 2018

A Study of Data Layout in Multi-channel Processing-In-Memory Architecture.
Proceedings of the 7th International Conference on Software and Computer Applications, 2018

2017
Scalable Bandwidth Shaping Scheme via Adaptively Managed Parallel Heaps in Manycore-Based Network Processors.
ACM Trans. Design Autom. Electr. Syst., 2017

An effective pre-store/pre-load method exploiting intra-request idle time of NAND flash-based storage devices.
Microprocess. Microsystems, 2017

Timing window wiper: A new scheme for reducing refresh power of DRAM.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
NAND Flash Memory With Multiple Page Sizes for High-Performance Storage Devices.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Near-Data Processing for Machine Learning.
CoRR, 2016

FPGA power estimation simulator for dynamic input data.
Proceedings of the International SoC Design Conference, 2016

A RAM cache approach using host memory buffer of the NVMe interface.
Proceedings of the International SoC Design Conference, 2016

PIM architecture exploration for HMC.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
FRAME: Fast and Realistic Attacker Modeling and Evaluation for Temporal Logical Correlation in Static Noise.
CoRR, 2015

A High-Performance Solid-State Disk with Double-Data-Rate NAND Flash Memory.
CoRR, 2015

2014
An Adaptive Idle-Time Exploiting Method for Low Latency NAND Flash-Based Storage Devices.
IEEE Trans. Computers, 2014

$C\!\!-\!\!Lock$ : Energy Efficient Synchronization for Embedded Multicore Systems.
IEEE Trans. Computers, 2014

Exploiting Implementation Diversity and Partial Connection of Routers in Application-Specific Network-on-Chip Topology Synthesis.
IEEE Trans. Computers, 2014

Design of a bitmap-based QoS-aware memory controller for a packet memory.
IEICE Electron. Express, 2014

An Energy and Performance Efficient DVFS Scheme for Irregular Parallel Divide-and-Conquer Algorithms on the Intel SCC.
IEEE Comput. Archit. Lett., 2014

2013
A Low-Power Packet Memory Architecture with a Latency-Aware Packet Mapping Method.
IEICE Trans. Inf. Syst., 2013

Power Failure Protection Scheme for Reliable High-Performance Solid State Disks.
IEICE Trans. Inf. Syst., 2013

Page overwriting method for performance improvement of NAND flash memories.
IEICE Electron. Express, 2013

GPU-based acceleration of an RNA tertiary structure prediction algorithm.
Comput. Biol. Medicine, 2013

2012
Application-Support Particle Filter for Dynamic Voltage Scaling of Multimedia Applications.
IEEE Trans. Computers, 2012

Partial Connection-Aware Topology Synthesis for On-Chip Cascaded Crossbar Network.
IEEE Trans. Computers, 2012

Analytical memory bandwidth model for many-core processor based systems.
IEICE Electron. Express, 2012

Asymmetric DRAM synthesis for heterogeneous chip multiprocessors in 3D-stacked architecture.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
High-voltage wordline generator for low-power program operation in NAND flash memories.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
Energy-Optimal Dynamic Thermal Management: Computation and Cooling Power Co-Optimization.
IEEE Trans. Ind. Informatics, 2010

On the Thermal Attack in Instruction Caches.
IEEE Trans. Dependable Secur. Comput., 2010

Architecture Exploration of High-Performance PCs with a Solid-State Disk.
IEEE Trans. Computers, 2010

A fast and simple system performance emulator for enhanced solid state disks: a case study of long read operations.
J. Zhejiang Univ. Sci. C, 2010

Design of On-Chip Crossbar Network Topology Using Chained Edge Partitioning.
Comput. J., 2010

Exploiting multiple switch libraries in topology synthesis of on-chip interconnection network.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Design and analysis of flash translation layers for multi-channel NAND flash-based storage devices.
IEEE Trans. Consumer Electron., 2009

High-Speed Post-Layout Logic Simulation Using Quasi-Static Clock Event Evaluation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Topology Synthesis of Cascaded Crossbar Switches.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Run-Time Adaptive Workload Estimation for Dynamic Voltage Scaling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Jitter-Conscious Bus Arbitration Scheme for Real-Time Systems.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs.
IEICE Trans. Inf. Syst., 2009

K-maximin clustering: a maximin correlation approach to partition-based clustering.
IEICE Electron. Express, 2009

A robust peak detection method for RNA structure inference by high-throughput contact mapping.
Bioinform., 2009

Energy-optimal dynamic thermal management for green computing.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

2008
Scalable QoS-Aware Memory Controller for High-Bandwidth Packet Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Energy and Performance Optimization of Demand Paging With OneNAND Flash.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Adopting the Drowsy Technique for Instruction Caches: A Soft Error Perspective.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Extended MPEG Video Format for Efficient Dynamic Voltage Scaling.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Early Tab Matching.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Mixed integer linear programming-based optimal topology synthesis of cascaded crossbar switches.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Latency-Aware Bus Arbitration for Real-Time Embedded Systems.
IEICE Trans. Inf. Syst., 2007

Scenario-Aware Bus Functional Modeling for Architecture-Level Performance Analysis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Clustering protein environments for function prediction: finding PROSITE motifs in 3D.
BMC Bioinform., 2007

Is the Complicated ECC Array Necessary for Data Caches?
Proceedings of the 2007 International Conference on Computer Design, 2007

Slack-based Bus Arbitration Scheme for Soft Real-time Constrained Embedded Systems.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Exploiting Binary Abstractions in Deciphering Gene Interactions.
Proceedings of the 28th International Conference of the IEEE Engineering in Medicine and Biology Society, 2006

Demand paging for OneNAND<sup>TM</sup> Flash eXecute-in-place.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

Worst case execution time analysis for synthesized hardware.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

PowerV<i>i</i>P: Soc power estimation framework at transaction level.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Fast and Accurate Transaction Level Modeling of an Extended AMBA2.0 Bus Architecture.
Proceedings of the 2005 Design, 2005

2004
Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design.
Proceedings of the 2004 Design, 2004

2002
Value-sensitive automatic code specialization for embedded software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Dynamic Power Management for Nonstationary Service Requests.
IEEE Trans. Computers, 2002

Contents provider-assisted dynamic voltage scaling for low energy multimedia applications.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

2001
Source code transformation based on software cost analysis.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Automatic source code specialization for energy reduction.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

2000
Quantitative Comparison of Power Management Algorithms.
Proceedings of the 2000 Design, 2000

1999
Dynamic power management using adaptive learning tree.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Dynamic Power Management for non-stationary service requests.
Proceedings of the 1999 Design, 1999


  Loading...