Woo Cheol Shin

According to our database1, Woo Cheol Shin authored at least 6 papers between 2004 and 2010.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2010
Precision Component Technologies for Microfactory Systems Developed at KIMM.
Int. J. Autom. Technol., 2010

2006
Energy-Aware Pure ALOHA for Wireless Sensor Networks.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

2005
Unisource and Multisource Tree Schemes for Collision Resolution in Wireless MAN.
Telecommun. Syst., 2005

Uni-source and Multi-source <i>m</i>-Ary Tree Algorithms for Best Effort Service in Wireless MAN.
Proceedings of the Networking, 2005

2004
Supplement schemes to 3GGP ARQ for reducing occupancy and sojourn at re-ordering buffer.
Proceedings of the 2004 IEEE Wireless Communications and Networking Conference , 2004

Occupancy regulation for reordering buffer at 3GPP's ARQ.
Proceedings of IEEE International Conference on Communications, 2004


  Loading...