Deniz Balkan

According to our database1, Deniz Balkan authored at least 10 papers between 2004 and 2008.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2008
Selective Writeback: Reducing Register File Pressure and Energy Consumption.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Predicting and Exploiting Transient Values for Reducing Register File Pressure and Energy Consumption.
IEEE Trans. Computers, 2008

2006
Early Register Deallocation Mechanisms Using Checkpointed Register Files.
IEEE Trans. Computers, 2006

Selective writeback: exploiting transient values for energy-efficiency and performance.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Address-Value Decoupling for Early Register Deallocation.
Proceedings of the 2006 International Conference on Parallel Processing (ICPP 2006), 2006

Adaptive reorder buffers for SMT processors.
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006

SPARTAN: speculative avoidance of register allocations to transient values for performance and energy efficiency.
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006

2004
A Study of Errant Pipeline Flushes Caused by Value Misspeculation.
Proceedings of the 16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2004), 2004

Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure.
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004

Increasing Processor Performance Through Early Register Release.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004


  Loading...