Göran Jerke

Orcid: 0009-0000-1816-9048

According to our database1, Göran Jerke authored at least 22 papers between 2001 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Physical Design Challenges for Automotive ASICs.
Proceedings of the 2024 International Symposium on Physical Design, 2024

2022
Mission Profile Clustering Using a Universal Quantile Criterion.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2018
The need and opportunities of electromigration-aware integrated circuit design.
Proceedings of the International Conference on Computer-Aided Design, 2018

2016
Analog layout automation via self-organization: Enhancing the novel SWARM approach.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

SWARM: A Multi-agent System for Layout Automation in Analog Integrated Circuit Design.
Proceedings of the Agent and Multi-Agent Systems: Technology and Applications, 2016

2015
Physical verification flow for hierarchical analog ic design constraints.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Mission profile aware IC design - A case study.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Adaptive data model for efficient constraint handling in AMS IC design.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Extended constraint management for analog and mixed-signal IC design.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

2012
Hierarchical propagation of geometric constraints for full-custom physical design of ICs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2010
Early-stage determination of current-density criticality in interconnects.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

2009
Constraint-driven design: the next step towards analog design automation.
Proceedings of the 2009 International Symposium on Physical Design, 2009

A new methodology for constraint-driven layout design of analog circuits.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
A constraint-driven methodology for placement of analog and mixed-signal integrated circuits.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

On the Verification of High-Order Constraint Compliance in IC Design.
Proceedings of the Design, Automation and Test in Europe, 2008

2005
Electromigration-Aware Physical Design of Integrated Circuits.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

2004
Hierarchical current-density verification in arbitrarily shaped metallization patterns of analog circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Reliability-driven layout decompaction for electromigration failure avoidance in complex mixed-signal IC designs.
Proceedings of the 41th Design Automation Conference, 2004

2003
Current-driven wire planning for electromigration avoidance in analog circuits.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Hierarchical Current Density Verification for Electromigration Analysis in Arbitrary Shaped Metallization Patterns of Analog Circuits.
Proceedings of the 2002 Design, 2002

2001
AnalogRouter: a new approach of current-driven routing for analog circuits.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001


  Loading...