Jens Lienig

Orcid: 0000-0002-2140-4587

According to our database1, Jens Lienig authored at least 73 papers between 1991 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Layout Verification Using Open-Source Software.
Proceedings of the 2024 International Symposium on Physical Design, 2024

2023
Introduction to the Special Section on Advances in Physical Design Automation.
ACM Trans. Design Autom. Electr. Syst., September, 2023

Combined Modeling of Electromigration, Thermal and Stress Migration in AC Interconnect Lines.
Proceedings of the 2023 International Symposium on Physical Design, 2023

2022
Non-occlusive pumping principle for blood pump application.
Autom., 2022

A Multi-level Analog IC Design Flow for Fast Performance Estimation Using Template-based Layout Generators and Structural Models.
Proceedings of the 18th International Conference on Synthesis, 2022

Reliability by Design: Avoiding Migration-Induced Failure in IC Interconnects.
Proceedings of the 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2022

2021
Toward Security Closure in the Face of Reliability Effects ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Exploring Physical Synthesis for Circuits based on Emerging Reconfigurable Nanotechnologies.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

2020
Avoidance vs. repair: New approaches to increasing electromigration robustness in VLSI routing.
Integr., 2020

A Globally-optimized Co-design Approach for Heterogeneous Systems Using Convex Optimization.
Proceedings of the European Conference on Circuit Theory and Design, 2020

2019
Parasitic Extraction Methodology for MEMS Sensors with Active Devices.
Proceedings of the 16th International Conference on Synthesis, 2019

From Constraints to Tape-Out: Towards a Continuous AMS Design Flow.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

Assembly-Related Chip/Package Co-Design of Heterogeneous Systems Manufactured by Micro-Transfer Printing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

A Graph-Based Model of Micro-Transfer Printing for Cost-Optimized Heterogeneous 2.5D Systems.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

2018
Multi-Objective 3D Floorplanning with Integrated Voltage Assignment.
ACM Trans. Design Autom. Electr. Syst., 2018

Full custom MEMS design: A new method for the analysis of motion-dependent parasitics.
Integr., 2018

FLUTE-EM: Electromigration-Optimized Net Considering Topology Currents and Mechanical Stress.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Increasing EM Robustness of Placement and Routing Solutions based on Layout-Driven Discretization.
Proceedings of the 14th Conference on Ph.D. Research in Microelectronics and Electronics, 2018

The Pressing Need for Electromigration-Aware Physical Design.
Proceedings of the 2018 International Symposium on Physical Design, 2018

The need and opportunities of electromigration-aware integrated circuit design.
Proceedings of the International Conference on Computer-Aided Design, 2018

Optimal die placement for interposer-based 3D ICs.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Large-Scale 3D Chips: Challenges and Solutions for Design Automation, Testing, and Trustworthy Integration.
IPSJ Trans. Syst. LSI Des. Methodol., 2017

Electromigration Analysis of VLSI Circuits Using the Finite Element Method.
Proceedings of the VLSI-SoC: Opportunities and Challenges Beyond the Internet of Things, 2017

Exploring the use of the finite element method for electromigration analysis in future physical design.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

MESH: Explicit and flexible generation of analog arrays.
Proceedings of the 14th International Conference on Synthesis, 2017

A new method for the analysis of movement dependent parasitics in full custom designed MEMS sensors.
Proceedings of the 14th International Conference on Synthesis, 2017

2016
IIP framework: A tool for reuse-centric analog circuit design.
Proceedings of the 13th International Conference on Synthesis, 2016

A novel polygon-based circuit extraction algorithm for full custom designed MEMS sensors.
Proceedings of the 13th International Conference on Synthesis, 2016

Physical Design Automation for 3D Chip Stacks: Challenges and Solutions.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

Load-Aware Redundant Via Insertion for Electromigration Avoidance.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

2015
Planning Massive Interconnects in 3-D Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Automation of Analog IC Layout: Challenges and Solutions.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015

2014
Structural planning of 3D-IC interconnects by block alignment.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Novel moving-magnet electrodynamic feed units for small machine tools.
Prod. Eng., 2013

Electromigration and its impact on physical design in future technologies.
Proceedings of the International Symposium on Physical Design, 2013

Utilizing 2D and 3D rectilinear blocks for efficient IP reuse and floorplanning of 3D-integrated systems.
Proceedings of the International Symposium on Physical Design, 2013

Adaptive data model for efficient constraint handling in AMS IC design.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Extended constraint management for analog and mixed-signal IC design.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

2012
Assembling 2-D Blocks Into 3-D Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Multiobjective optimization of deadspace, a critical resource for 3D-IC integration.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
Interface optimization for improved routability in chip-package-board co-design.
Proceedings of the 2011 International Workshop on System Level Interconnect Prediction, 2011

Assembling 2D blocks into 3D chips.
Proceedings of the 2011 International Symposium on Physical Design, 2011

Investigating modern layout representations for improved 3d design automation.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

An ontology for constraints in custom IC design.
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011

VLSI Physical Design - From Graph Partitioning to Timing Closure.
Springer, ISBN: 978-90-481-9590-9, 2011

2010
Early-stage determination of current-density criticality in interconnects.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Integration of multi physics modeling of 3D stacks into modern 3D data structures.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

Solution space investigation and comparison of modern data structures for heterogeneous 3D designs.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

2009
From 3D circuit technologies and data structures to interconnect prediction.
Proceedings of the 11th International Workshop on System-Level Interconnect Prediction (SLIP 2009), 2009

Constraint-driven design: the next step towards analog design automation.
Proceedings of the 2009 International Symposium on Physical Design, 2009

A new methodology for constraint-driven layout design of analog circuits.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
Universal Methodology to Handle Differential Pairs during Pin Assignment.
Proceedings of the VLSI-SoC: Design Methodologies for SoC and SiP, 2008

A constraint-driven methodology for placement of analog and mixed-signal integrated circuits.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

Novel Pin Assignment Algorithms for Components with Very High Pin Counts.
Proceedings of the Design, Automation and Test in Europe, 2008

2006
introduction to electromigration-aware physical design.
Proceedings of the 2006 International Symposium on Physical Design, 2006

2005
Electromigration-Aware Physical Design of Integrated Circuits.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Interconnect and current density stress: an introduction to electromigration-aware design.
Proceedings of the Seventh International Workshop on System-Level Interconnect Prediction (SLIP 2005), 2005

2004
Hierarchical current-density verification in arbitrarily shaped metallization patterns of analog circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Reliability-driven layout decompaction for electromigration failure avoidance in complex mixed-signal IC designs.
Proceedings of the 41th Design Automation Conference, 2004

2003
Current-driven wire planning for electromigration avoidance in analog circuits.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Hierarchical Current Density Verification for Electromigration Analysis in Arbitrary Shaped Metallization Patterns of Analog Circuits.
Proceedings of the 2002 Design, 2002

2001
AnalogRouter: a new approach of current-driven routing for analog circuits.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

1997
A parallel genetic algorithm for performance-driven VLSI routing.
IEEE Trans. Evol. Comput., 1997

Channel and Switchbox Routing with Minimized Crosstalk - A Parallel Genetic Algorithm Approach.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

1996
Gasbor: a Genetic Algorithm Approach for Solving the switchbox Routing Problem.
J. Circuits Syst. Comput., 1996

Genetic Algorithms Applied to the Physical Design of VLSI Circuits: A Survey.
Proceedings of the Parallel Problem Solving from Nature, 1996

1994
A New Genetic Algorithm for the Channel Routing Problem.
Proceedings of the Seventh International Conference on VLSI Design, 1994

An Evolutionary Algorithm for the Routing of Multi-Chip Modules.
Proceedings of the Parallel Problem Solving from Nature, 1994

GASBOR: A Genetic Algorithm for Switchbox Routing in Integrated Circuits.
Proceedings of the Process in Evolutionary Computation, 1994

1993
A Genetic Algorithm for Channel Routing in VLSI Circuits.
Evol. Comput., 1993

1992
Routing algorithms for multi-chip modules.
Proceedings of the conference on European design automation, 1992

1991
Ein Verdrahtungssystem für den rechnergestützten Layoutentwurf von Multichipträgern.
PhD thesis, 1991


  Loading...