Hossein Kassiri

Orcid: 0000-0002-8220-1986

According to our database1, Hossein Kassiri authored at least 53 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A 0.67 $\mu$V-IIRN super-T$\Omega$-Z$_{IN}$ 17.5 $\mu$W/Ch Active Electrode With In-Channel Boosted CMRR for Distributed EEG Monitoring.
IEEE Trans. Biomed. Circuits Syst., February, 2024

33.5 Closed-Loop 100-Channel Highly-Scalable Retinal Implant with 1.02μW Analog ED-Based Adaptive-Threshold Spike Detection and Poisson-Coded Temporally Distributed Optogenetic Stimulation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
An 8-Channel Ambulatory EEG Recording IC With In-Channel Fully-Analog Real-Time Motion Artifact Extraction and Removal.
IEEE Trans. Biomed. Circuits Syst., October, 2023

Energy-Efficient Spiking-CNN-Based Cross-Patient Seizure Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A Neural-ADC-Compatible Fully-Dynamic Lossless Adaptive Resolution Compression Technique for Energy-Constrained Bio-Signal Recording.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

A Highly-Scalable Area-Efficient ADC-Direct Neural Recording Front-End with Proportional-Integral Single-Bit Feedback.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

A 9.5ms-Latency 6.2µJ/Inference Spiking CNN for Patient-Specific Seizure Detection.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
A 21.3%-Efficiency Clipped-Sinusoid UWB Impulse Radio Transmitter With Simultaneous Inductive Powering and Data Receiving.
IEEE Trans. Biomed. Circuits Syst., December, 2022

An Implantable Optogenetic Neuro-Stimulator SoC With Extended Optical Pulse-Width Enabled by Supply-Variation-Immune Cycled Light-Toggling Stimulation.
IEEE Trans. Biomed. Circuits Syst., 2022

A Calibration-Free Energy-Efficient IC for Link-Adaptive Real-Time Energy Storage Optimization of CM Inductive Power Receivers.
IEEE J. Solid State Circuits, 2022

Activity-Adaptive Architectures for Energy-Efficient Scalable Neural Recording Microsystems: A Review of Current and Future Directions.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

A 200GΩ-ZIN, <0.2%-THD CT-△Σ-Based ADC-Direct Artifact-Tolerant Neural Recording Circuit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A SAR-Assisted DC-Coupled Chopper-Stabilized 20μs-Artifact-Recovery $\Delta \Sigma$ ADC for Simultaneous Neural Recording and Stimulation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
A Real-Time-Link-Adaptive Operation Scheme for Maximum Energy Storage Efficiency in Resonant CM Wireless Power Receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Opamp-Less Sub-μW/Channel Δ-Modulated Neural-ADC With Super-GΩ Input Impedance.
IEEE J. Solid State Circuits, 2021

A 24-Channel Neurostimulator IC With Channel-Specific Energy-Efficient Hybrid Preventive-Detective Dynamic-Precision Charge Balancing.
IEEE Access, 2021

An Analog Low-Power Highly-Accurate Link-Adaptive Energy Storage Efficiency Maximizer for Resonant CM Wireless Power Receivers.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

A 24-Channel Neurostimulator IC with One-Shot Impedance-Adaptive Channel-Specific Charge Balancing.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

A Temperature-Aware Fully-Wireless mm-Scale Optically-Enhanced Optogenetic Neuro-Stimulator.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

A Biologically-Informed Computational Framework for Pathway-Specific Spiking Patterns Generation and Efficacy Evaluation in Retinal Neurostimulators.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

An Active Electrode IC with Embedded Analog CMRR Enhancement for Interference- and Gain-Mismatch-Resilient EEG Recording.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

2020
An Energy-Efficient Optically-Enhanced Highly-Linear Implantable Wirelessly-Powered Bidirectional Optogenetic Neuro-Stimulator.
IEEE Trans. Biomed. Circuits Syst., 2020

50nW Opamp-Less ΔΣ-Modulated Bioimpedance Spectrum Analyzer for Electrochemical Brain Interfacing.
IEEE J. Solid State Circuits, 2020

A 3.12pJ°C2 Ultra-Low-Power Direct-ADC Multi-Range Temperature Sensor for IoT Nodes.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Multi-Feature Nonlinear-SVM Seizure Detection Algorithm with Patient-Specific Channel Selection and Feature Customization.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Resource-Optimized Patient-Specific Nonlinear-SVM Hypertension Detection Algorithm for Minimally-Invasive High Blood Pressure Control.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

An 8-Channel 0.45mm2/Channel EEG Recording IC with ADC-Free Mixed-Signal In-Channel Motion Artifact Detection and Removal.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Motion-Affected Electrode-Tissue Interface Characterization for Ambulatory EEG Recording.
Proceedings of the 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2020

A 12.5mg mm-Scale Inductively-Powered Light-Directivity-Enhanced Highly-Linear Bidirectional Optogenetic Neuro-Stimulator.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
A Resource-Optimized VLSI Implementation of a Patient-Specific Seizure Detection Algorithm on a Custom-Made 2.2 cm<sup>2</sup> Wireless Device for Ambulatory Epilepsy Diagnostics.
IEEE Trans. Biomed. Circuits Syst., 2019

A 9.2-g Fully-Flexible Wireless Ambulatory EEG Monitoring and Diagnostics Headband With Analog Motion Artifact Detection and Compensation.
IEEE Trans. Biomed. Circuits Syst., 2019

A Resource-Optimized VLSI Architecture for Patient-Specific Seizure Detection using Frontal-Lobe EEG.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A 9.2-Gram Fully-Flexible Wireless Dry-Electrode Headband for Non-Contact Artifact-Resilient EEG Monitoring and Programmable Diagnostics.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
Artifact-Tolerant Opamp-Less Delta-Modulated Bidirectional Neuro-Interface.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

50nW 5kHz-BW opamp-less ΔΣ impedance analyzer for brain neurochemistry monitoring.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

2017
Closed-Loop Neurostimulators: A Survey and A Seizure-Predicting Design Example for Intractable Epilepsy Treatment.
IEEE Trans. Biomed. Circuits Syst., 2017

Electronic Sleep Stage Classifiers: A Survey and VLSI Design Methodology.
IEEE Trans. Biomed. Circuits Syst., 2017

Rail-to-Rail-Input Dual-Radio 64-Channel Closed-Loop Neurostimulator.
IEEE J. Solid State Circuits, 2017

Fully discrete-time neural recording front-ends: Feasibility and design considerations.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

An area and power-efficient mixed-mode fully programmable FIR filter for biomedical interface microsystems.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

27.3 All-wireless 64-channel 0.013mm<sup>2</sup>/ch closed-loop neurostimulator with rail-to-rail DC offset removal.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Design considerations in development of wireless brain-implantable microsystems.
Proceedings of the 30th IEEE Canadian Conference on Electrical and Computer Engineering, 2017

2016
Battery-less Tri-band-Radio Neuro-monitor and Responsive Neurostimulator for Diagnostics and Treatment of Neurological Disorders.
IEEE J. Solid State Circuits, 2016

Tradeoffs between wireless communication and computation in closed-loop implantable devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A compact low-power VLSI architecture for real-time sleep stage classification.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Battery-less modular responsive neurostimulator for prediction and abortion of epileptic seizures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

An impedance-tracking battery-less arbitrary-waveform neurostimulator with load-adaptive 20V voltage compliance.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
0.13μm CMOS 230Mbps 21pJ/b UWB-IR transmitter with 21.3% efficiency.
Proceedings of the ESSCIRC Conference 2015, 2015

Inductively powered arbitrary-waveform adaptive-supply electro-optical neurostimulator.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
Inductively-powered direct-coupled 64-channel chopper-stabilized epilepsy-responsive neurostimulator with digital offset cancellation and tri-band radio.
Proceedings of the ESSCIRC 2014, 2014

Wearable low-latency sleep stage classifier.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2013
Slew-rate enhancement for a single-ended low-power two-stage amplifier.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Low-distortion super-GOhm subthreshold-MOS resistors for CMOS neural amplifiers.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013


  Loading...