Sohmyung Ha

Orcid: 0000-0003-3589-086X

According to our database1, Sohmyung Ha authored at least 74 papers between 2012 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Impedance-Readout Integrated Circuits for Electrical Impedance Spectroscopy: Methodological Review.
IEEE Trans. Biomed. Circuits Syst., February, 2024

A 15.4-ENOB, Fourth-Order Truncation-Error-Shaping NS-SAR-Nested ΔΣ Modulator With Boosted Input Impedance and Range for Biosignal Acquisition.
IEEE J. Solid State Circuits, February, 2024

33.8 A Two-Electrode Bio-Impedance Readout IC with Complex-Domain Noise-Correlated Baseline Cancellation Supporting Sinusoidal Excitation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

3.6 An Amplifier-Less CMOS Potentiostat IC Consuming 3.7nW Power all over 129.5dB Dynamic Range for Electrochemical Biosensing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Process-Scalable Ultra-Low-Voltage Sleep Timer With a Time-Domain Amplifier and a Switch-Less Resistance Multiplier.
IEEE J. Solid State Circuits, October, 2023

A Wide-Bandwidth Ultrasound Receiver and On-Chip Ultrasound Transmitter for Ultrasound Capsule Endoscopy.
IEEE J. Solid State Circuits, October, 2023

A Differential Rectifier With ${V}_{TH}$ Compensation for High-Frequency RF Inputs.
IEEE Trans. Biomed. Circuits Syst., August, 2023

A High-Efficiency Single-Mode Dual-Path Buck-Boost Converter With Reduced Inductor Current.
IEEE J. Solid State Circuits, March, 2023

Optimal Parameter Design of DAC-Based Sinusoidal Signal Generators for Electrical Impedance Spectroscopy.
IEEE Trans. Instrum. Meas., 2023

A 2.5mW 12MHz-BW 69dB SNDR Passive Bandpass ΔΣ ADC with Highpass Noise-Shaping SAR Quantizers.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A 187dB FoMS 46fJ/Conv 2<sup>nd</sup>-order Highpass Δ∑ Capacitance-to-Digital Converter.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

An Energy-Efficient, Scalable Neural Stimulation IC with Adaptive Dynamic Voltage Switching for Cochlear Implant System.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 0.9V 2MHz 6.4x-Slope-Boosted Quadrature-Phase Relaxation Oscillator with 164.2dBc/Hz FoM and 62.5ppm Period Jitter in 0.18μm CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

A 333TOPS/W Logic-Compatible Multi-Level Embedded Flash Compute-In-Memory Macro with Dual-Slope Computation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

A 2pA/√Hz Current-Conveyor-Assisted Ultrasound Receiver with 25pF CMUT Parasitic Capacitance.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
Dynamic-Range-Enhancement Techniques for Artifact-Tolerant Biopotential-Acquisition ICs.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

On-Chip Sinusoidal Signal Generators for Electrical Impedance Spectroscopy: Methodological Review.
IEEE Trans. Biomed. Circuits Syst., 2022

A PVT-Robust AFE-Embedded Error-Feedback Noise-Shaping SAR ADC With Chopper-Based Passive High-Pass IIR Filtering for Direct Neural Recording.
IEEE Trans. Biomed. Circuits Syst., 2022

An Intra-Body Power Transfer System With $>$1-mW Power Delivered to the Load and 3.3-V DC Output at 160-cm of on-Body Distance.
IEEE Trans. Biomed. Circuits Syst., 2022

Wireless Kitchen Fire Prevention System Using Electrochemical Carbon Dioxide Gas Sensor for Smart Home.
Sensors, 2022

An Impedance Readout IC with Ratio-Based Measurement Techniques for Electrical Impedance Spectroscopy.
Sensors, 2022

A Wide-Dynamic-Range Neural-Recording IC With Automatic-Gain-Controlled AFE and CT Dynamic-Zoom ΔΣ ADC for Saturation-Free Closed-Loop Neural Interfaces.
IEEE J. Solid State Circuits, 2022

An SRAM-Based Hybrid Computation-in-Memory Macro Using Current-Reused Differential CCO.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

A 600mVPP-Input-Range 94.5dB-SNDR NS-SAR-Nested DSM with 4<sup>th</sup>-Order Truncation-Error Shaping and Input-Impedance Boosting for Biosignal Acquisition.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 96.5%-Power-Efficiency Hybrid Buck-Boost Photovoltaic Energy Harvester Employing Adaptive FOCV MPPT Control for >98% MPPT Efficiency Across a 10, 000× Dynamic Range.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 133.6TOPS/W Compute-In-Memory SRAM Macro with Fully Parallel One-Step Multi-Bit Computation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

A Single-Mode Dual-Path Buck-Boost Converter with Reduced Inductor Current Across All Duty Cases Achieving 95.58% Efficiency at 1A in Boost Operation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

A 433.92-MHz CMOS Rectifier with Dynamic VTH-reduction for Wireless Biomedical Implants.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022

Effects of Duration and Envelope of Vibrotactile Alerts on Urgency, Annoyance, and Acceptance.
Proceedings of the Haptic Interaction - 5th International Conference, 2022

2021
Energy-Efficient High-Voltage Pulsers for Ultrasound Transducers.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Capacitor-Less Dual-Mode All-Digital LDO With ΔΣ-Modulation-Based Ripple Reduction.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A Wireless Power and Data Transfer IC for Neural Prostheses Using a Single Inductive Link With Frequency-Splitting Characteristic.
IEEE Trans. Biomed. Circuits Syst., 2021

A Polar-Demodulation-Based Impedance-Measurement IC Using Frequency-Shift Technique With Low Power Consumption and Wide Frequency Range.
IEEE Trans. Biomed. Circuits Syst., 2021

A Precise Lesion Localization System Using a Magnetometer With Real-Time Baseline Cancellation for Laparoscopic Surgery.
IEEE Access, 2021

Power Delivery Networks for Embedded Mobile SoCs: Architectural Advancements and Design Challenges.
IEEE Access, 2021

A 96.6%-Efficiency Continuous-Input-Current Hybrid Dual-Path Buck-Boost Converter with Single-Mode Operation and Non-Stopping Output Current Delivery.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

An 8MHz 31.25kS/s Impedance-Monitoring IC Based on IF-Sampling Architecture with a Band-Pass Delta-Sigma ADC.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A Self-Powered Wireless Gas Sensor Node Based on Photovoltaic Energy Harvesting.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A Frequency-Splitting-Based Wireless Power and Data Transfer IC for Neural Prostheses with Simultaneous 115mWPower and 2.5Mb/s Forward Data Delivery.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

34.4 An Energy-Replenishing Ultrasound Pulser with 0.25CV<sup>2</sup> f Dynamic Power Consumption.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A Power-Efficient, Wide-Frequency-Range Impedance Measurement IC Using Frequency-Shift Technique.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Load-Current-Regulating OLED Lamp Driver Using a Hybrid Step-Up Converter with 93.21% Efficiency at a High Conversion Ratio of 4.1.
Proceedings of the 47th ESSCIRC 2021, 2021

A Scalable Readout IC Based on Wideband Noise Cancelling for Full-Rate Scanning of High-Density Microelectrode Arrays.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

A 99.5dB-DR 5kHz-BW Closed-Loop Neural-Recording IC based on Continuous-Time Dynamic-Zoom ΔΣ ADC with Automatic AFE-Gain Control.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

2020
Challenges in Scaling Down of Free-Floating Implantable Neural Interfaces to Millimeter Scale.
IEEE Access, 2020

Architectural Advancement of Digital Low-Dropout Regulators.
IEEE Access, 2020

A 0.0046mm<sup>2</sup> 6.7μW Three-Stage Amplifier Capable of Driving 0.5-to-1.9nF Capacitive Load with >0.68MHz GBW without Compensation Zero.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A 4.2-pJ/Conv 10-b Asynchronous ADC with Hybrid Two-Tier Level-Crossing Event Coding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
A Harmonic Error Cancellation Method for Accurate Clock-Based Electrochemical Impedance Spectroscopy.
IEEE Trans. Biomed. Circuits Syst., 2019

A 3 mm × 3 mm Fully Integrated Wireless Power Receiver and Neural Interface System-on-Chip.
IEEE Trans. Biomed. Circuits Syst., 2019

A Fully Integrated RF-Powered Energy-Replenishing Current-Controlled Stimulator.
IEEE Trans. Biomed. Circuits Syst., 2019

A Fully Differential Potentiostat Circuit with Integrated Time-based ADCs.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

2018
A 500-MHz Bandwidth 7.5-mV<sub>pp</sub> Ripple Power-Amplifier Supply Modulator for RF Polar Transmitters.
IEEE J. Solid State Circuits, 2018

Harmonic Error Cancellation for Accurate Square-wave-based Bio-Impedance Measurements.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

Magnetically Balanced Power and Data Telemetry for mm-scale Neural Implants.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

2017
Silicon-Integrated High-Density Electrocortical Interfaces.
Proc. IEEE, 2017

A 144-MHz Fully Integrated Resonant Regulating Rectifier With Hybrid Pulse Modulation for mm-Sized Implants.
IEEE J. Solid State Circuits, 2017

21.7 2pJ/MAC 14b 8×8 linear transform mixed-signal spatial filter in 65nm CMOS with 84dB interference suppression.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Design of miniaturized wireless power receivers for mm-sized implants.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

From algorithms to devices: Enabling machine learning through ultra-low-power VLSI mixed-signal array processing.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

Wireless powering of mm-scale fully-on-chip neural interfaces.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
A 1.3 mW 48 MHz 4 Channel MIMO Baseband Receiver With 65 dB Harmonic Rejection and 48.5 dB Spatial Signal Separation.
IEEE J. Solid State Circuits, 2016

Energy Recycling Telemetry IC With Simultaneous 11.5 mW Power and 6.78 Mb/s Backward Data Delivery Over a Single 13.56 MHz Inductive Link.
IEEE J. Solid State Circuits, 2016

A fully integrated 144 MHz wireless-power-receiver-on-chip with an adaptive buck-boost regulating rectifier and low-loss H-Tree signal distribution.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

2015
A CMOS 4-channel MIMO baseband receiver with 65dB harmonic rejection over 48MHz and 50dB spatial signal separation over 3MHz at 1.3mW.
Proceedings of the Symposium on VLSI Circuits, 2015

A 144MHz integrated resonant regulating rectifier with hybrid pulse modulation.
Proceedings of the Symposium on VLSI Circuits, 2015

A 16-channel wireless neural interfacing SoC with RF-powered energy-replenishing adiabatic stimulation.
Proceedings of the Symposium on VLSI Circuits, 2015

2014
Integrated Circuits and Electrode Interfaces for Noninvasive Physiological Monitoring.
IEEE Trans. Biomed. Eng., 2014

Energy-recycling integrated 6.78-Mbps data 6.3-mW power telemetry over a single 13.56-MHz inductive link.
Proceedings of the Symposium on VLSI Circuits, 2014

A 7.86 mW +12.5 dBm in-band IIP3 8-to-320 MHz capacitive harmonic rejection mixer in 65nm CMOS.
Proceedings of the ESSCIRC 2014, 2014

A 65k-neuron 73-Mevents/s 22-pJ/event asynchronous micro-pipelined integrate-and-fire array transceiver.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

A 12.6 mW 8.3 Mevents/s contrast detection 128×128 imager with 75 dB intra-scene DR asynchronous random-access digital readout.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2013
85 dB dynamic range 1.2 mW 156 kS/s biopotential recording IC for high-density ECoG flexible active electrode array.
Proceedings of the ESSCIRC 2013, 2013

2012
Direct inductive stimulation for energy-efficient wireless neural interfaces.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012


  Loading...