John Criswell

Orcid: 0000-0003-2176-3659

According to our database1, John Criswell authored at least 40 papers between 2007 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Fat Pointers for Temporal Memory Safety of C.
Proc. ACM Program. Lang., April, 2023

Fast Summary-based Whole-program Analysis to Identify Unsafe Memory Accesses in Rust.
CoRR, 2023

InversOS: Efficient Control-Flow Protection for AArch64 Applications with Privilege Inversion.
CoRR, 2023

Jinn: Hijacking Safe Programs with Trojans.
Proceedings of the 32nd USENIX Security Symposium, 2023

2022
Holistic Control-Flow Protection on Real-Time Embedded Systems with Kage.
Proceedings of the 31st USENIX Security Symposium, 2022

Hardening Hypervisors with Ombro.
Proceedings of the 2022 USENIX Annual Technical Conference, 2022

Randezvous: Making Randomization Effective on MCUs.
Proceedings of the Annual Computer Security Applications Conference, 2022

2021
Tutorial: LLVM for Security Practitioners.
Proceedings of the IEEE Secure Development Conference, 2021

Tutorial: Making C Programs Safer with Checked C.
Proceedings of the IEEE Secure Development Conference, 2021

Fast Intra-kernel Isolation and Security with IskiOS.
Proceedings of the RAID '21: 24th International Symposium on Research in Attacks, 2021

2020
Fast Execute-Only Memory for Embedded Systems.
CoRR, 2020

Silhouette: Efficient Protected Shadow Stacks for Embedded Systems.
Proceedings of the 29th USENIX Security Symposium, 2020

Fast Execute-Only Memory for Embedded Systems.
Proceedings of the IEEE Secure Development, SecDev 2020, Atlanta, GA, USA, 2020

Refactoring the FreeBSD Kernel with Checked C.
Proceedings of the IEEE Secure Development, SecDev 2020, Atlanta, GA, USA, 2020

Tutorial: LLVM for Security Practitioners.
Proceedings of the IEEE Secure Development, SecDev 2020, Atlanta, GA, USA, 2020

2019
Silhouette: Efficient Intra-Address Space Isolation for Protected Shadow Stacks on Embedded Systems.
CoRR, 2019

Restricting Control Flow During Speculative Execution with Venkman.
CoRR, 2019

IskiOS: Lightweight Defense Against Kernel-Level Code-Reuse Attacks.
CoRR, 2019

Secure guest virtual machine support in apparition.
Proceedings of the 15th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, 2019

Hodor: Intra-Process Isolation for High-Throughput Data Plane Libraries.
Proceedings of the 2019 USENIX Annual Technical Conference, 2019

PrivAnalyzer: Measuring the Efficacy of Linux Privilege Use.
Proceedings of the 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2019

Codestitcher: inter-procedural basic block layout optimization.
Proceedings of the 28th International Conference on Compiler Construction, 2019

Beating OPT with Statistical Clairvoyance and Variable Size Caching.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Codestitcher: Inter-Procedural Basic Block Layout Optimization.
CoRR, 2018

Shielding Software From Privileged Side-Channel Attacks.
Proceedings of the 27th USENIX Security Symposium, 2018

Transforming Code to Drop Dead Privileges.
Proceedings of the 2018 IEEE Cybersecurity Development, SecDev 2018, Cambridge, MA, USA, 2018

Spectres, virtual ghosts, and hardware support.
Proceedings of the 7th International Workshop on Hardware and Architectural Support for Security and Privacy, 2018

Restricting Control Flow During Speculative Execution.
Proceedings of the 2018 ACM SIGSAC Conference on Computer and Communications Security, 2018

2017
A Software Solution for Hardware Vulnerabilities.
Proceedings of the IEEE Cybersecurity Development, SecDev 2017, Cambridge, MA, USA, 2017

Flexible and efficient memory object metadata.
Proceedings of the 2017 ACM SIGPLAN International Symposium on Memory Management, 2017

2015
Nested Kernel: An Operating System Architecture for Intra-Kernel Privilege Separation.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

2014
Secure virtual architecture: security for commodity software systems
PhD thesis, 2014

KCoFI: Complete Control-Flow Integrity for Commodity Operating System Kernels.
Proceedings of the 2014 IEEE Symposium on Security and Privacy, 2014

Virtual ghost: protecting applications from hostile operating systems.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014

2013
Using likely invariants for automated software fault localization.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2013

2012
Baggy Bounds with Accurate Checking.
Proceedings of the 23rd IEEE International Symposium on Software Reliability Engineering Workshops, 2012

2011
A Predictable Execution Model for COTS-Based Embedded Systems.
Proceedings of the 17th IEEE Real-Time and Embedded Technology and Applications Symposium, 2011

2010
An empirical study of reported bugs in server software with implications for automated bug diagnosis.
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering, 2010

2009
Memory Safety for Low-Level Software/Hardware Interactions.
Proceedings of the 18th USENIX Security Symposium, 2009

2007
Secure virtual architecture: a safe execution environment for commodity operating systems.
Proceedings of the 21st ACM Symposium on Operating Systems Principles 2007, 2007


  Loading...