Qinggang Wang

According to our database1, Qinggang Wang authored at least 22 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
AFaVS: Accurate Yet Fast Version Switching for Graph Processing Systems.
Proceedings of the 39th IEEE International Conference on Data Engineering, 2023

MeG<sup>2</sup>: In-Memory Acceleration for Genome Graphs Analysis.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
ReaDy: A ReRAM-Based Processing-in-Memory Accelerator for Dynamic Graph Convolutional Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Data-Centric Accelerator for High-Performance Hypergraph Processing.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

A General Offloading Approach for Near-DRAM Processing-In-Memory Architectures.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

Accelerating Sparse Deep Neural Network Inference Using GPU Tensor Cores.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2022

ScalaGraph: A Scalable Accelerator for Massively Parallel Graph Processing.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Hardware-Accelerated Hypergraph Processing with Chain-Driven Scheduling.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Accelerating Graph Convolutional Networks Using Crossbar-based Processing-In-Memory Architectures.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2021
FDGLib: A Communication Library for Efficient Large-Scale Graph Processing in FPGA-Accelerated Data Centers.
J. Comput. Sci. Technol., 2021

Fast Sparse Deep Neural Network Inference with Flexible SpMM Optimization Space Exploration.
Proceedings of the 2021 IEEE High Performance Extreme Computing Conference, 2021

Productive High-Performance k-Truss Decomposition on GPU Using Linear Algebra.
Proceedings of the 2021 IEEE High Performance Extreme Computing Conference, 2021

GraSU: A Fast Graph Update Library for FPGA-based Dynamic Graph Processing.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

SumPA: Efficient Pattern-Centric Graph Mining with Pattern Abstraction.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
A Conflict-free Scheduler for High-performance Graph Processing on Multi-pipeline FPGAs.
ACM Trans. Archit. Code Optim., 2020

Effective runtime scheduling for high-performance graph processing on heterogeneous dataflow architecture.
CCF Trans. High Perform. Comput., 2020

Spara: An Energy-Efficient ReRAM-Based Accelerator for Sparse Graph Analytics Applications.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020

2018
Scalable concurrency debugging with distributed graph processing.
Proceedings of the 2018 International Symposium on Code Generation and Optimization, 2018

2010
Distinguishing variance embedding.
Image Vis. Comput., 2010

Some non-interior path-following methods based on a scaled central path for linear complementarity problems.
Comput. Optim. Appl., 2010

2009
Combining local and global information for nonlinear dimensionality reduction.
Neurocomputing, 2009

2008
Determination of the Selection Statistics and Best Significance Level in Backward Stepwise Logistic Regression.
Commun. Stat. Simul. Comput., 2008


  Loading...