Chuangyi Gui

According to our database1, Chuangyi Gui authored at least 16 papers between 2019 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Cyclosa: Redundancy-Free Graph Pattern Mining via Set Dataflow.
Proceedings of the 2023 USENIX Annual Technical Conference, 2023

AFaVS: Accurate Yet Fast Version Switching for Graph Processing Systems.
Proceedings of the 39th IEEE International Conference on Data Engineering, 2023

2022
CPSAA: Accelerating Sparse Attention using Crossbar-based Processing-In-Memory Architecture.
CoRR, 2022

GraphFly: Efficient Asynchronous Streaming Graphs Processing via Dependency-Flow.
Proceedings of the SC22: International Conference for High Performance Computing, 2022

A Data-Centric Accelerator for High-Performance Hypergraph Processing.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

A General Offloading Approach for Near-DRAM Processing-In-Memory Architectures.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

ScalaGraph: A Scalable Accelerator for Massively Parallel Graph Processing.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Hardware-Accelerated Hypergraph Processing with Chain-Driven Scheduling.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

ReSMA: accelerating approximate string matching using ReRAM-based content addressable memory.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
GraSU: A Fast Graph Update Library for FPGA-based Dynamic Graph Processing.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

SumPA: Efficient Pattern-Centric Graph Mining with Pattern Abstraction.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
Efficient FPGA-based graph processing with hybrid pull-push computational model.
Frontiers Comput. Sci., 2020

A Locality-Aware Energy-Efficient Accelerator for Graph Mining Applications.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

2019
A Survey on Graph Processing Accelerators: Challenges and Opportunities.
J. Comput. Sci. Technol., 2019

Fast Triangle Counting on GPU.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

RAGra: Leveraging Monolithic 3D ReRAM for Massively-Parallel Graph Processing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019


  Loading...