Pengcheng Yao

Orcid: 0000-0003-4701-2239

According to our database1, Pengcheng Yao authored at least 28 papers between 2016 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
PhGraph: A High-Performance ReRAM-Based Accelerator for Hypergraph Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2024

Accurate 3D Measurement of Complex Texture Objects by Height Compensation Using a Dual-Projector Structure.
IEEE Trans. Image Process., 2024

2023
Accelerating Graph Convolutional Networks Through a PIM-Accelerated Approach.
IEEE Trans. Computers, September, 2023

AFaVS: Accurate Yet Fast Version Switching for Graph Processing Systems.
Proceedings of the 39th IEEE International Conference on Data Engineering, 2023

MeG<sup>2</sup>: In-Memory Acceleration for Genome Graphs Analysis.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Toward Real-World Super-Resolution Technique for Fringe Projection Profilometry.
IEEE Trans. Instrum. Meas., 2022

ReaDy: A ReRAM-Based Processing-in-Memory Accelerator for Dynamic Graph Convolutional Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Multi-scale feature aggregation network for Image super-resolution.
Appl. Intell., 2022

A Data-Centric Accelerator for High-Performance Hypergraph Processing.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

A General Offloading Approach for Near-DRAM Processing-In-Memory Architectures.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

An Efficient Graph Accelerator with Distributed On-Chip Memory Hierarchy.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2022

Accelerating Sparse Deep Neural Network Inference Using GPU Tensor Cores.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2022

ScalaGraph: A Scalable Accelerator for Massively Parallel Graph Processing.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Hardware-Accelerated Hypergraph Processing with Chain-Driven Scheduling.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Accelerating Graph Convolutional Networks Using Crossbar-based Processing-In-Memory Architectures.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2021
Fast Sparse Deep Neural Network Inference with Flexible SpMM Optimization Space Exploration.
Proceedings of the 2021 IEEE High Performance Extreme Computing Conference, 2021

GraSU: A Fast Graph Update Library for FPGA-based Dynamic Graph Processing.
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021

SumPA: Efficient Pattern-Centric Graph Mining with Pattern Abstraction.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
A Locality-Aware Energy-Efficient Accelerator for Graph Mining Applications.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

A Heterogeneous PIM Hardware-Software Co-Design for Energy-Efficient Graph Processing.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020

2019
Fast Triangle Counting on GPU.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

RAGra: Leveraging Monolithic 3D ReRAM for Massively-Parallel Graph Processing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
An Efficient Graph Accelerator with Parallel Data Conflict Management.
CoRR, 2018

Biopanning data bank 2018: hugging next generation phage display.
Database J. Biol. Databases Curation, 2018

An efficient graph accelerator with parallel data conflict management.
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, 2018

2017
Towards dataflow based graph processing.
Sci. China Inf. Sci., 2017

Towards Dataflow-Based Graph Accelerator.
Proceedings of the 37th IEEE International Conference on Distributed Computing Systems, 2017

2016
Robust mesh deformation with salient features preservation.
Sci. China Inf. Sci., 2016


  Loading...