Sam Kavusi

According to our database1, Sam Kavusi authored at least 12 papers between 2006 and 2016.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
MTF Measurements of Wide Field of View Cameras.
Proceedings of the Digital Photography and Mobile Imaging XII, 2016

2015
Session 11 overview: Sensors and imagers for life sciences: IMMD subcommittee.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
ES3: Wearable wellness devices: Fashion, health, and informatics.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2012
Interface Design for CMOS-Integrated Electrochemical Impedance Spectroscopy (EIS) Biosensors.
Sensors, 2012

What's next in robots? ∼Sensing, processing, networking toward human brain and body.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

2011
Image sensors for 3D capture.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

High Dynamic Range adaptive ΔΣ-based Focal Plane Array architecture.
Proceedings of the Conference Record of the Forty Fifth Asilomar Conference on Signals, 2011

2007
A Per-Pixel Pulse-FM Background Subtraction Circuit with 175ppm Accuracy for Imaging Applications.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2006
On incremental sigma-delta modulation with optimal filtering.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

Architectures for High Dynamic Range, High Speed Image Sensor Readout Circuits.
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006

Resolution and light sensitivity tradeoff with pixel size.
Proceedings of the Digital Photography II, San Jose, CA, USA, January 15, 2006, 2006

A 0.18μm CMOS 1000 frames/sec, 138dB Dynamic Range Readout Circuit for 3D-IC IR Focal Plane Arrays.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006


  Loading...