Yang Zhang

Orcid: 0000-0001-5215-2127

Affiliations:
  • Huazhong University of Science and Technology, School of Computer Science and Technology, Wuhan National Laboratory for Optoelectronics, Engineering Research Center of Data Storage Systems and Technology, Wuhan, China


According to our database1, Yang Zhang authored at least 12 papers between 2017 and 2021.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2021
Improving Multilevel Writes on Vertical 3-D Cross-Point Resistive Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Improving Write Performance on Cross-Point RRAM Arrays by Leveraging Multidimensional Non-Uniformity of Cell Effective Voltage.
IEEE Trans. Computers, 2021

EnTiered-ReRAM: An Enhanced Low Latency and Energy Efficient TLC Crossbar ReRAM Architecture.
IEEE Access, 2021

2020
A Low Power Reconfigurable Memory Architecture for Complementary Resistive Switches.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
Cross-point Resistive Memory: Nonideal Properties and Solutions.
ACM Trans. Design Autom. Electr. Syst., 2019

FvRS: Efficiently identifying performance-critical data for improving performance of big data processing.
Future Gener. Comput. Syst., 2019

Tiered-ReRAM: A Low Latency and Energy Efficient TLC Crossbar ReRAM Architecture.
Proceedings of the 35th Symposium on Mass Storage Systems and Technologies, 2019

2018
CACF: A Novel Circuit Architecture Co-optimization Framework for Improving Performance, Reliability and Energy of ReRAM-based Main Memory System.
ACM Trans. Archit. Code Optim., 2018

Asymmetric-ReRAM: A Low Latency and High Reliability Crossbar Resistive Memory Architecture.
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018

Aliens: a novel hybrid architecture for resistive random-access memory.
Proceedings of the International Conference on Computer-Aided Design, 2018

2017
DAWS: Exploiting Crossbar Characteristics for Improving Write Performance of High Density Resistive Memory.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

A Novel ReRAM-based Main Memory Structure for Optimizing Access Latency and Reliability.
Proceedings of the 54th Annual Design Automation Conference, 2017


  Loading...