Yatin Trivedi

According to our database1, Yatin Trivedi authored at least 16 papers between 1985 and 2019.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
Emerging Technology and Its Applications Require New Standards.
IEEE Commun. Stand. Mag., 2019

2018
When Disaster Strikes.
IEEE Commun. Stand. Mag., 2018

Oh Gee, 5G?
IEEE Commun. Stand. Mag., 2018

2017
Standards Education.
IEEE Commun. Stand. Mag., 2017

Standards Education.
IEEE Commun. Stand. Mag., 2017

Standards Education.
IEEE Commun. Stand. Mag., 2017

2016
Ethernet, the networking standard: more mature, more powerful where the whole world is going with ethernet.
IEEE Commun. Mag., 2016

Wireless power transfer: "Look ma, no hands, no wires!".
IEEE Commun. Mag., 2016

2015
Commentary.
IEEE Commun. Mag., 2015

2012
Standards and Collaboration Perspectives: Yesterday, Today, and Tomorrow [Perspectives].
IEEE Des. Test Comput., 2012

2009
EDA Made-in-India: Fact or Fiction?
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

2003
Design flow and methodology for 50M gate ASIC.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

1996
Opportunities and pitfalls in HDL-based system design.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Issues and Answers in CAD Tool Interoperability.
Proceedings of the 33st Conference on Design Automation, 1996

1989
CrossCheck: A Practical Solution for ASIC Testability.
Proceedings of the Proceedings International Test Conference 1989, 1989

1985
Integrating stochastic performance analysis with system design tools.
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985


  Loading...