Daniel Gajski

Affiliations:
  • University of California, Irvine, USA


According to our database1, Daniel Gajski authored at least 215 papers between 1978 and 2016.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 1994, "For contributions to VLSI and system level design methodologies and CAD tools.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2016
A case study to develop a graduate-level degree program in embedded & cyber-physical systems.
SIGBED Rev., 2016

2015
Implementing flexible hybrid instruction in an electrical engineering course: The best of three worlds?
Comput. Educ., 2015

2014
Hierarchy-Aware mapping of pipelined applications.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Trace-Driven Performance Estimation of multi-core platforms.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

2012
Automated Generation of Custom Processor Core from C Code.
J. Electr. Comput. Eng., 2012

2011
Automatic TLM Generation for Early Validation of Multicore Systems.
IEEE Des. Test Comput., 2011

2010
Early performance-cost estimation of application-specific data path pipelining.
Proceedings of the IEEE 8th Symposium on Application Specific Processors, 2010

Embedded system environment: A framework for TLM-based design and prototyping.
Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping, 2010

Design exploration and automatic generation of MPSoC platform TLMs from Kahn Process Network applications.
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, 2010

Accurate timed RTOS model for transaction level modeling.
Proceedings of the Design, Automation and Test in Europe, 2010

What input-language is the best choice for high level synthesis (HLS)?
Proceedings of the 47th Design Automation Conference, 2010

2009
Electronic System-Level Synthesis Methodologies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Model Based Synthesis of Embedded Software.
J. Softw., 2009

An Introduction to High-Level Synthesis.
IEEE Des. Test Comput., 2009

Hardware-dependent software synthesis for many-core embedded systems.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
An Interactive Design Environment for C-Based High-Level Synthesis of RTL Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Merged Dictionary Code Compression for FPGA Implementation of Custom Microcoded PEs.
ACM Trans. Reconfigurable Technol. Syst., 2008

System-on-Chip Environment: A SpecC-Based Framework for Heterogeneous MPSoC Design.
EURASIP J. Embed. Syst., 2008

Custom Processor Core Construction from C Code.
Proceedings of the IEEE Symposium on Application Specific Processors, 2008

Cycle-approximate Retargetable Performance Estimation at the Transaction Level.
Proceedings of the Design, Automation and Test in Europe, 2008

C-based design flow: a case study on G.729A for voice over internet protocol (VoIP).
Proceedings of the 45th Design Automation Conference, 2008

Automatic architecture refinement techniques for customizing processing elements.
Proceedings of the 45th Design Automation Conference, 2008

Specify-explore-refine (SER): from specification to implementation.
Proceedings of the 45th Design Automation Conference, 2008

2007
Automatic Layer-Based Generation of System-On-Chip Bus Communication Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Automatic generation of embedded communication SW for heterogeneous MPSoC platforms.
Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, 2007

Interface synthesis for heterogeneous multi-core systems from transaction level models.
Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, 2007

Automatic Data Path Generation from C code for Custom Processors.
Proceedings of the Embedded System Design: Topics, Techniques and Trends, IFIP TC10 Working Conference: International Embedded Systems Symposium (IESS), May 30, 2007

An Interactive Design Environment for C-based High-Level Synthesis.
Proceedings of the Embedded System Design: Topics, Techniques and Trends, IFIP TC10 Working Conference: International Embedded Systems Symposium (IESS), May 30, 2007

A novel profile-driven technique for simultaneous power and code-size optimization of microcoded IPs.
Proceedings of the 25th International Conference on Computer Design, 2007

FPGA-friendly code compression for horizontal microcoded custom IPs.
Proceedings of the ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays, 2007

New Strategies for System-Level Design.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

TLM: Crossing Over From Buzz To Adoption.
Proceedings of the 44th Design Automation Conference, 2007

2006
Verification of System Level Model Transformations.
Int. J. Parallel Program., 2006

Aspect-Oriented Architecture Description for Retargetable Compilation, Simulation and Synthesis of Application-Specific Pipelined Datapaths .
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Transaction Routing and its Verification by Correct Model Transformations.
Proceedings of the Eleventh Annual IEEE International High-Level Design Validation and Test Workshop 2006, 2006

A Graph Based Algorithm for Data Path Optimization in Custom Processors.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

Automatic generation of transaction level models for rapid design space exploration.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

Generic netlist representation for system and PE level design exploration.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

Designing a custom architecture for DCT using NISC technology.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

Design and implementation of transducer for ARM-TMS communication.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Structural operational semantics for supporting multi-cycle operations in RTL HDLs.
Proceedings of the 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 2005

System design extreme makeover.
Proceedings of the 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 2005

Software and Driver Synthesis from Transaction Level Models.
Proceedings of the From Specification to Embedded Systems Application [International Embedded Systems Symposium, 2005

Automatic Generation of Communication Architectures.
Proceedings of the From Specification to Embedded Systems Application [International Embedded Systems Symposium, 2005

Utilizing Horizontal and Vertical Parallelism with a No-Instruction-Set Compiler for Custom Datapaths.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Custom Processor Design Using NISC: A Case-Study on DCT algorithm.
Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005

Defining an Enhanced RTL Semantics.
Proceedings of the 2005 Design, 2005

Functional Validation of System Level Static Scheduling.
Proceedings of the 2005 Design, 2005

Automatic network generation for system-on-chip communication design.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

A cycle-accurate compilation algorithm for custom pipelined datapaths.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

What will system level design be when it grows up?
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

A clustering technique to optimize hardware/software synchronization.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

System-level communication modeling for network-on-chip synthesis.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Multi-metric and multi-entity characterization of applications for early system design exploration.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

A formalism for functionality preserving system level transformations.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Model validation for mapping specification behaviors to processing elements.
Proceedings of the Ninth IEEE International High-Level Design Validation and Test Workshop 2004, 2004

Were the good old days all that good?: EDA then and now.
Proceedings of the 41th Design Automation Conference, 2004

Retargetable profiling for rapid, early system-level design space exploration.
Proceedings of the 41th Design Automation Conference, 2004

Automatic generation of equivalent architecture model from functional specification.
Proceedings of the 41th Design Automation Conference, 2004

Embedded systems education: how to teach the required skills?
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004

Embedded software generation from system level design languages.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

Automatic generation of bus functional models from transaction level models.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

A novel memory size model for variable-mapping in system level design.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

On deriving equivalent architecture model from system specification.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Transaction Based Design: Another Buzzword or the Solution to a Design Problem?
Proceedings of the 2003 Design, 2003

RTOS Modeling for System Level Design.
Proceedings of the 2003 Design, 2003

Automatic communication refinement for system level design.
Proceedings of the 40th Design Automation Conference, 2003

RTOS scheduling in transaction level models.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

Transaction level modeling: an overview.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

RTOS Modeling for System Level Design.
Proceedings of the Embedded Software for SoC, 2003

2002
An ultra-fast instruction set simulator.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Automatic Model Refinement for Fast Architecture Exploration.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Seamless approach for the design of control systems for power electronics and electric drives.
Proceedings of the IEEE International Conference on Systems, Man and Cybernetics: Bridging the Digital Divide, Yasmine Hammamet, Tunisia, October 6-9, 2002, 2002

Optimal Message-Passing for Data Coherency in Distributed Architecture.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

System-Level Abstraction Semantics.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Modeling a new RTL semantics in C++.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Top-Down System Level Design Methodology Using SpecC, VCC and SystemC.
Proceedings of the 2002 Design, 2002

Co-design of embedded controllers for power electronics and electric systems.
Proceedings of the 2002 IEEE International Symposium on Intelligent Control, 2002

2001
Performance-constrained hierarchical pipelining for behaviors, loops, and operations.
ACM Trans. Design Autom. Electr. Syst., 2001

RTL semantics and methodology.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Introduction of system level architecture exploration using the SpecC methodology.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

C/C++: progress or deadlock in system-level specification.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

Panel: The Next HDL: If C++ is the Answer, What was the Question?
Proceedings of the 38th Design Automation Conference, 2001

Compiling SpecC for simulation.
Proceedings of ASP-DAC 2001, 2001

System Design - A Practical Guide with SpecC.
Springer, ISBN: 978-0-7923-7387-2, 2001

2000
The Specification Language SpecC within the PARADISE Design Environment.
Proceedings of the Architecture and Design of Distributed Embedded Systems, 2000

One language or more?: how can we design an SoC at a system level?
Proceedings of ASP-DAC 2000, 2000

Embedded tutorial: essential issues for IP reuse.
Proceedings of ASP-DAC 2000, 2000

Usage-based characterization of complex functional blocks for reuse in behavioral synthesis.
Proceedings of ASP-DAC 2000, 2000

Reuse and protection of intellectual property in the SpecC system.
Proceedings of ASP-DAC 2000, 2000

1999
Partitioning and pipelining for performance-constrained hardware/software systems.
IEEE Trans. Very Large Scale Integr. Syst., 1999

Panel Statement: System-Level Design: Designers' Wish List vs. Reality.
Proceedings of the 12th International Symposium on System Synthesis, 1999

Rapid Prototyping with HW/SW Codesign Tool.
Proceedings of the 6th Symposium on Engineering of Computer-Based Systems (ECBS '99), 1999

OpenJ: An Extensible System Level Design Language.
Proceedings of the 1999 Design, 1999

Soft Scheduling in High Level Synthesis.
Proceedings of the 36th Conference on Design Automation, 1999

IP-based Design Methodology.
Proceedings of the 36th Conference on Design Automation, 1999

A unified formal model of ISA and FSMD.
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999

1998
SpecSyn: an environment supporting the specify-explore-refine paradigm for hardware/software system design.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Specification and Design of Embedded Systems.
Informationstechnik Tech. Inform., 1998

IP-Centric Methodology and Specification Language.
Proceedings of the Distributed and Parallel Embedded Systems, 1998

Hierarchical pipelining for behaviors, loops, and operations.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

System-level exploration with SpecSyn.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Model refinement for hardware-software codesign.
ACM Trans. Design Autom. Electr. Syst., 1997

A Scheduling and Pipelining Algorithm for Hardware/Software Systems.
Proceedings of the 10th International Symposium on System Synthesis, 1997

Hardware/Software Partitioning and Pipelining.
Proceedings of the 34st Conference on Design Automation, 1997

A quantitative analysis for optimizing memory allocation.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1996
System design methodologies: aiming at the 100 h design cycle.
IEEE Trans. Very Large Scale Integr. Syst., 1996

Component selection for high-performance pipelines.
IEEE Trans. Very Large Scale Integr. Syst., 1996

An optimal clock period selection method based on slack minimization criteria.
ACM Trans. Design Autom. Electr. Syst., 1996

Opportunities and pitfalls in HDL-based system design.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Clock-driven performance optimization in interactive behavioral synthesis.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Rapid performance estimation for system design.
Proceedings of the conference on European design automation, 1996

Clock optimization for high-performance pipelined design.
Proceedings of the conference on European design automation, 1996

Component selection in resource shared and pipelined DSP applications.
Proceedings of the conference on European design automation, 1996

1995
Incremental hardware estimation during hardware/software functional partitioning.
IEEE Trans. Very Large Scale Integr. Syst., 1995

Performance evaluation for application-specific architectures.
IEEE Trans. Very Large Scale Integr. Syst., 1995

SpecCharts: a VHDL front-end for embedded systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Specification and Design of Embedded Hardware-Software Systems.
IEEE Des. Test Comput., 1995

Clustering for improved system-level functional partitioning.
Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), 1995

Closeness metrics for system-level functional partitioning.
Proceedings of the Proceedings EURO-DAC'95, 1995

A memory selection algorithm for high-performance pipelines.
Proceedings of the Proceedings EURO-DAC'95, 1995

SLIF: a specification-level intermediate format for system design.
Proceedings of the 1995 European Design and Test Conference, 1995

Architectural exploration for datapaths with memory hierarchy.
Proceedings of the 1995 European Design and Test Conference, 1995

Software estimation using a generic-processor model.
Proceedings of the 1995 European Design and Test Conference, 1995

Interfacing Incompatible Protocols Using Interface Process Generation.
Proceedings of the 32st Conference on Design Automation, 1995

1994
A transformation-based method for loop folding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Introduction to High-Level Synthesis.
IEEE Des. Test Comput., 1994

Condition graphs for high-quality behavioral synthesis.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Design exploration for high-performance pipelines.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

A transformation for integrating VHDL behavioral specification with synthesis and software generation.
Proceedings of the Proceedings EURO-DAC'94, 1994

A binary-constraint search algorithm for minimizing hardware during hardware/software partitioning.
Proceedings of the Proceedings EURO-DAC'94, 1994

100-hour design cycle: a test case.
Proceedings of the Proceedings EURO-DAC'94, 1994

An Algorithm for Array Variable Clustering.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

Synthesis of System-Level Bus Interfaces.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

An Algorithm for Generation of Behavioral Shape Functions.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

A performance evaluator for parameterized ASIC architectures.
Proceedings of the Proceedings EURO-DAC'94, 1994

A System-Design Methodology: Executable-Specification Refinement.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

A component selection algorithm for high-performance pipelines.
Proceedings of the Proceedings EURO-DAC'94, 1994

Protocol Generation for Communication Channels.
Proceedings of the 31st Conference on Design Automation, 1994

1993
Component synthesis from functional descriptions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Synthesis of functions and procedures in behavioral VHDL.
Proceedings of the European Design Automation Conference 1993, 1993

Architectural tradeoffs in synthesis of pipelined controls.
Proceedings of the European Design Automation Conference 1993, 1993

Features supporting system-level specification in HDLs.
Proceedings of the European Design Automation Conference 1993, 1993

Top-down modeling of RISC processors in VHDL.
Proceedings of the European Design Automation Conference 1993, 1993

High-Level Transformations for Minimizing Syntactic Variances.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
Partitioning algorithms for layout synthesis from register-transfer netlists.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Layout placement for sliced architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

System Specification with the SpecCharts Language.
IEEE Des. Test Comput., 1992

System Level Specification and Synthesis.
Proceedings of the Fifth International Conference on VLSI Design, 1992

Benchmarking and the Art of Syntesis Tool Comparison.
Proceedings of the Synthesis for Control Dominated Circuits, 1992

An efficient multi-view design model for real-time interactive synthesis.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Accurate layout area and delay modeling for system level design.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

An effective methodology for functional pipelining.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Semantics and synthesis of signals in behavioral VHDL.
Proceedings of the conference on European design automation, 1992

System clock estimation based on clock slack minimization.
Proceedings of the conference on European design automation, 1992

Timing models for high-level synthesis.
Proceedings of the conference on European design automation, 1992

Specification Partitioning for System Design.
Proceedings of the 29th Design Automation Conference, 1992

Functional Synthesis Using Area and Delay Optimization.
Proceedings of the 29th Design Automation Conference, 1992

Youn-Long Steve Lin
Springer, ISBN: 978-1-4615-3636-9, 1992

1991
Layout-Area Models for High-Level Synthesis.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Obtaining Functionally Equivalent Simulations using VHDL and a Time-Shift Transformation.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

An Algorithm for Component Selection in Performance Optimized Scheduling.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

System Specification and Synthesis with the SpecCharts Language.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Glue-logic partitioning for floorplans with a rectilinear datapath.
Proceedings of the conference on European design automation, 1991

Translating system specifications to VHDL.
Proceedings of the conference on European design automation, 1991

1990
Hypertool: A Programming Aid for Message-Passing Systems.
IEEE Trans. Parallel Distributed Syst., 1990

Chippe: a system for constraint driven behavioral synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

The Role of Learning in Logic Synthesis.
Int. J. Pattern Recognit. Artif. Intell., 1990

Design Synthesis and Silicon Compilation.
IEEE Des. Test Comput., 1990

The Component Sythesis Algorithm: Technology Mapping for Register Transfer Descriptions.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

A new algorithm for transistor sizing in CMOS circuits.
Proceedings of the European Design Automation Conference, 1990

Silicon compilation of switched: capacitor networks.
Proceedings of the European Design Automation Conference, 1990

Percolation Based Synthesis.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

An Intermediate Representation for Behavioral Synthesis.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

An Intelligent Component Database for Behavioral Synthesis.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
Computer-aided programming for message-passing systems: problems and solutions.
Proc. IEEE, 1989

Power routing in channelless floorplan layouts.
Integr., 1989

Hypertool: A Programming Aid for Multicomputers.
Proceedings of the International Conference on Parallel Processing, 1989

VHDL Synthesis Using Structured Modeling.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

Designer Controlled Behavioral Synthesis.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

1988
A programming aid for hypercube architectures.
J. Supercomput., 1988

A technique for pull-up transistor folding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

LES: a layout expert system.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

Synthesis from VHDL.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

MILO: A Microarchitecture and Logic Optimizer.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1987
Design Tools for Intelligent Silicon Compilation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987

A Programming Aid for Message-passing Systems.
Proceedings of the Third SIAM Conference on Parallel Processing for Scientific Computing, 1987

Improving a PLA Area by Pull-Up Transistor Folding.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

Knowledge Based Control in Micro-Architecture Design.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

1986
A Heuristic for Suffix Solutions.
IEEE Trans. Computers, 1986

CAMP: A Programming Aide for Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1986

Flow graph representation.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986

An expert-system paradigm for design.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986

1985
Comparison of five multiprocessor systems.
Parallel Comput., 1985

Essential Issues in Multiprocessor Systems.
Computer, 1985

Decomposition of logic networks into silicon.
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985

1984
A Parallel Pipelined Relational Query Processor.
ACM Trans. Database Syst., 1984

Fast Execution of Loops with IF Statements.
IEEE Trans. Computers, 1984

A Parallel Pipelined Relational Query Processor: An Architectural Overview.
Proceedings of the 11th Annual Symposium on Computer Architecture, 1984

Microprocessor synthesis.
Proceedings of the 21st Design Automation Conference, 1984

Cell compilation with constraints.
Proceedings of the 21st Design Automation Conference, 1984

Silicon compilers and expert systems for VLSI.
Proceedings of the 21st Design Automation Conference, 1984

Cedar.
Proceedings of the COMPCON'84, Digest of Papers, Twenty-Eighth IEEE Computer Society International Conference, San Francisco, California, USA, February 27, 1984

1983
CEDAR: a large scale multiprocessor.
SIGARCH Comput. Archit. News, 1983

New VLSI Tools - Guest Editors' Introduction.
Computer, 1983

1982
A Second Opinion on Data Flow Machines and Languages.
Computer, 1982

Iterative algorithms for tridiagonal matrices on a WSI-multiprocessor.
Proceedings of the International Conference on Parallel Processing, 1982

1981
An Algorithm for Solving Linear Recurrence Systems on Parallel and Pipelined Machines.
IEEE Trans. Computers, 1981

Design of Testable Structures Defined by Simple Loops.
IEEE Trans. Computers, 1981

Automatic generation of cells for recurrence structures.
Proceedings of the 18th Design Automation Conference, 1981

Recurrence semigroups and their relation to data storage in fast recurrence solvers on parallel machines.
Proceedings of the CONPAR 81: Conference on Analysing Problem Classes and Programming for Parallel Computing, 1981

1980
Parallel Compressors.
IEEE Trans. Computers, 1980

Automatic design with dependence graphs.
Proceedings of the 17th Design Automation Conference, 1980

1978
Design of arithmetic elements for Burroughs Scientific Processor.
Proceedings of the 4th IEEE Symposium on Computer Arithmetic, 1978


  Loading...