Yoshi Shih-Chieh Huang

According to our database1, Yoshi Shih-Chieh Huang authored at least 9 papers between 2009 and 2014.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2014
Designing Coalescing Network-on-Chip for Efficient Memory Accesses of GPGPUs.
Proceedings of the Network and Parallel Computing, 2014

2013
Application-Driven End-to-End Traffic Predictions for Low Power NoC Design.
IEEE Trans. Very Large Scale Integr. Syst., 2013

TS-Router: On maximizing the Quality-of-Allocation in the On-Chip Network.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

ShieldUS: A novel design of dynamic shielding for eliminating 3D TSV crosstalk coupling noise.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Attackboard: a novel dependency-aware traffic generator for exploring NoC design space.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Floodgate: application-driven flow control in network-on-chip for many-core architectures.
Proceedings of the 4th International Workshop on Network on Chip Architectures, 2011

2010
Optimising upload bandwidth for quality of VCR operations in P2P VoD systems.
Int. J. Ad Hoc Ubiquitous Comput., 2010

NTPT: on the end-to-end traffic prediction in the on-chip networks.
Proceedings of the 47th Design Automation Conference, 2010

2009
Parallel Implementation and Performance Prediction of Object Detection in Videos on the Tilera Many-Core Systems.
Proceedings of the 10th International Symposium on Pervasive Systems, 2009


  Loading...