Aytac Atac

According to our database1, Aytac Atac authored at least 7 papers between 2012 and 2014.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of five.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2014
A low complexity multi standard dual band CMOS polar transmitter for smart utility networks.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

A 1.2V, 2.7mA receiver front-end for bluetooth low energy applications.
Proceedings of the 2014 IEEE Radio and Wireless Symposium, 2014

A SystemC Virtual Prototyping based Methodology for Multi-Standard SoC Functional Verification.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

An HDL-Based System Design Methodology for Multistandard RF SoC's.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

A low power DLL based clock multiplier for multistandard wireless smart grid communication.
Proceedings of the 9th International Symposium on Communication Systems, 2014

2013
A 1.7mW quadrature bandpass ΔΣ ADC with 1MHz BW and 60dB DR at 1MHz IF.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
A low power variable GBW opamp from 60MHz to 2GHz for multi-standard receivers.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012


  Loading...