Ralf Wunderlich

According to our database1, Ralf Wunderlich authored at least 109 papers between 1999 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A TDC With Integrated Snapshot Circuit and Calibration in 28-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

2023
A Fast-Response Reference Current Source for High- and Low-Side High-Voltage Current Mirrors for Gate-Shaping Digital Gate Drivers.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

Sub-modular MPPT for Decentralised Grid Feed-in Under Fast Changing Irradiation Applications.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

An External-Capacitorless High-PSR Linear Voltage Regulator With Source-Follower-Based Pre-Filter.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

A Fast-Response Resonant GaN Converter with Post-Regulation for Distributed DC-Grid Feed-In.
Proceedings of the 18th Conference on Ph.D Research in Microelectronics and Electronics, 2023

An Adaptive Dead-Time Control Method for Gate Drivers Using Gate Current Measurement Enabling ZVS in High Frequency HV DC-DC Converters.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A Fully Integrated Adaptive Dead-Time Controlling Gate Driver Enabling ZVS in HV Converters.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
On the Input-Output Behavior of a Geothermal Energy Storage: Approximations by Model Order Reduction.
CoRR, 2022

A Design Flow and EDA-Tool for an Automated Implementation of ASIC Configuration Interfaces.
Proceedings of the 18th International Conference on Synthesis, 2022

A Configurable Active Bandpass Filter with DC Offset Suppression for Direct Down-Conversion Wake-Up Receivers in 28 nm.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

A 0.73-to-1.71 V Capacitor-less Low-Noise Low-Dropout Regulator in 28-nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A -102 dB PSRR 1.2V Bandgap Voltage Reference for use in a Standalone MPPT Boost Converter for Solar Submodules.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

A 1.2 V to 3 V Low Power Resistor-Less All-MOSFET Voltage Reference Generator.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

A Half-Bridge Gate-Driver for high-efficient Boost Converter Applications with single-sided ZVS and an adaptive Ringing Suppression Technique.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

2021
Diffusion approximations for randomly arriving expert opinions in a financial market with Gaussian drift.
J. Appl. Probab., 2021

Short-Term Behavior of a Geothermal Energy Storage: Numerical Applications.
CoRR, 2021

Short-Term Behavior of a Geothermal Energy Storage: Modeling and Theoretical Results.
CoRR, 2021

A Comparative Study of Switchable Capacitor Structures for LC Oscillators in a 28-nm Technology.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

An integrated Boost Controller with MPPT for Submodule PV Applications.
Proceedings of the 47th ESSCIRC 2021, 2021

An Event-Driven System-Level Noise Analysis Methodology for RF Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
A Low-noise Low-Dropout Regulator Using a 28-nm Technology.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Triple Band Wireless Transceiver demonstrating Reliable and Low Latency Communication.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Analysis of Opamp Non-Idealities in Quadrature Bandpass Sigma-Delta Modulators.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Simulating Phase Noise in Multi-Gigahertz High Precision All-Digital Phase-Locked Loops.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Design of a Flexible Bandgap Based High Voltage UVLO with Pre-Regulator.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

A Fast and Accurate True Event-driven Phase Locked Loop Model.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Adaptive Power Management Unit for a Wireless Transceiver with an Integrated Wake-Up Receiver.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

2019
Modeling Power Supply Noise Effects for System-Level Simulation of $\Delta\Sigma$ -ADCs.
Proceedings of the 16th International Conference on Synthesis, 2019

Baseband Equivalent Modelling Approach for Analog Linear Transfer Functions in Event-driven Simulations.
Proceedings of the 16th International Conference on Synthesis, 2019

Startup Behaviour of Power Managment Unit for an Integrated Gate Driver.
Proceedings of the 15th Conference on Ph.D. Research in Microelectronics and Electronics, 2019

Self-Calibrating Digital-to-Time Converter in CMOS for Advanced Control in Smart Gate Drivers.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

An Accurate High-Voltage Supply-Referred Low-Impedance Reference-Voltage for Efficiency Enhancement of the High-Side Switching Process.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A 32 MHz Crystal Oscillator with Fast Start-Up Using Dithered Injection and Negative Resistance Boost.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

2018
Advanced Modeling Methodology for Expedient RF SoC Verification and Performance Estimation.
Proceedings of the 15th International Conference on Synthesis, 2018

A multistandard, triple band wireless transceiver in a 130 nm CMOS technology with integrated PAs for IoT applications.
Proceedings of the 2018 IEEE Radio and Wireless Symposium, 2018

Analysis of Gain and Bandwidth Limitations of Operational Amplifiers in Sigma-Delta Modulators.
Proceedings of the 14th Conference on Ph.D. Research in Microelectronics and Electronics, 2018

A Tracking Quantizer for Continuous Time Quadrature Bandpass Sigma-Delta Modulators.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

An Integrated Low Drop Out Regulator with Independent Self Biasing Start Up Circuit.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Analysis and Design of a Passive Sliding IF Mixer With a Novel Built-in Gainstep Mechanism for an Integrated 2.4 GHz RF-Receiver.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

A 16.5 W Single-Inductor 4-Channel Multi-Color Output DC-DC Buck LED Driver with Digital Control and 96 % Efficiency.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

Methodology for improved event-driven system-level simulation of an RF transceiver subsystem for wireless SoCs.
Proceedings of the 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2018

AMS verification methodology regarding supply modulation in RF SoCs induced by digital standard cells.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
A 276 nW, area-eficient CMOS subbandgap reference circuit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

WPAN node with Wake-Up Receiver using CSMA/CA and a channel occupation scheme.
Proceedings of the 2017 International Conference on Computing, 2017

A digital pulse width modulation closed loop control LDMOS gate driver for LED drivers implemented in a 0.18 μm HV CMOS technology.
Proceedings of the 2017 IEEE Custom Integrated Circuits Conference, 2017

2016
High energy efficient analog compressed sensing encoder for wireless ECG system.
Microelectron. J., 2016

Evaluation of Digital Compressed Sensing for Real-Time Wireless ECG System with Bluetooth low Energy.
J. Medical Syst., 2016

Examination of Power Consumption Reduction and Sampling Behavior of Envelope Detection Based Wake-up-Receiver with Duty Cycling Scheme.
Proceedings of the Wireless and Satellite Systems, 2016

A high efficiency straightforward design and verification methodology for PLL systems.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Towards bidirectional power line communication with Digital Load-Side Transmission.
Proceedings of the International Symposium on Power Line Communications and its Applications, 2016

A 80 nW, 32 kHz charge-pump based ultra low power oscillator with temperature compensation.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
A Wearable Wireless ECG Monitoring System With Dynamic Transmission Power Control for Long-Term Homecare.
J. Medical Syst., 2015

12.4 A 7.5W-output-power 96%-efficiency capacitor-free single-inductor 4-channel all-digital integrated DC-DC LED driver in a 0.18μm technology.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A mixed-domain modeling method for RF systems.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

2014
A low-complexity low-spurs digital architecture for wideband PLL applications.
Microelectron. J., 2014

Design of a low power multistandard transceiver chain based on current-reuse VCO.
Proceedings of the 27th IEEE International System-on-Chip Conference, 2014

A 1.2V, 2.7mA receiver front-end for bluetooth low energy applications.
Proceedings of the 2014 IEEE Radio and Wireless Symposium, 2014

A submodular boost converter ASIC for output energy improvements in photovoltaic applications.
Proceedings of the IECON 2014 - 40th Annual Conference of the IEEE Industrial Electronics Society, Dallas, TX, USA, October 29, 2014

A low power high-side current sense SAR ADC for automotive applications.
Proceedings of the IECON 2014 - 40th Annual Conference of the IEEE Industrial Electronics Society, Dallas, TX, USA, October 29, 2014

A SystemC Virtual Prototyping based Methodology for Multi-Standard SoC Functional Verification.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

An HDL-Based System Design Methodology for Multistandard RF SoC's.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Integrated AC/DC converter with no-load over-voltage protection and safe start-up.
Proceedings of the 9th International Symposium on Communication Systems, 2014

A low power DLL based clock multiplier for multistandard wireless smart grid communication.
Proceedings of the 9th International Symposium on Communication Systems, 2014

2013
A Novel Low-Effort Demodulator for Low Power Short Range Wireless Transceivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2013

Low complexity image rejection demodulator for bluetooth LE applications.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Low-effort high-performance viterbi-based receiver for Bluetooth LE applications.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A 1.7mW quadrature bandpass ΔΣ ADC with 1MHz BW and 60dB DR at 1MHz IF.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Advanced digital current prediction for current ripple reduction in DC-DC converters for photovoltaic applications.
Proceedings of the IECON 2013, 2013

Advanced color control for multicolor LED illumination systems with parametric optimization.
Proceedings of the IECON 2013, 2013

A capacitor-free single-inductor multiple-output LED driver.
Proceedings of the IECON 2013, 2013

Concept study for fully integrated and photovoltaic inverter.
Proceedings of the IECON 2013, 2013

A low noise wearable wireless ECG system with body motion cancellation for long term homecare.
Proceedings of the IEEE 15th International Conference on e-Health Networking, 2013

A 1mV voltage ripple 0.97mm<sup>2</sup> fully integrated low-power hybrid buck converter.
Proceedings of the ESSCIRC 2013, 2013

Comparison of modeling approaches through hierarchical behavioral modeling of a GNSS receiver front-end.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

On-chip AC/DC converter with no-load over-voltage protection and output ripple reduction.
Proceedings of the IEEE 18th International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, 2013

Design and evaluation of a novel wireless reconstructed 3-lead ECG monitoring system.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

2012
System modelling of an integrated hysteretic controlled DC-DC converter.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

An ultra low power frequency synthesizer based on multiphase fractional frequency divider.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

System simulation for M-sequence radar sensors.
Proceedings of the 2012 International Conference on Indoor Positioning and Indoor Navigation, 2012

Maximum power point tracker for small number of solar cells connected in series.
Proceedings of the 38th Annual Conference on IEEE Industrial Electronics Society, 2012

An integrated acceleration sensor for traffic condition detection.
Proceedings of 9th IEEE International Conference on Networking, Sensing and Control, 2012

A low power variable GBW opamp from 60MHz to 2GHz for multi-standard receivers.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

2011
A wide-frequency-range fractional-N synthesizer for clock generation in 65nm CMOS.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

2010
Optimal portfolio policies under bounded expected loss and partial information.
Math. Methods Oper. Res., 2010

An Active Feedback Interference Cancellation Technique for Blocker Filtering in RF Receiver Front-Ends.
IEEE J. Solid State Circuits, 2010

An integrated voltage-mode PWM controlled buck converter with active compensation.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A Variable Gain Multiband Shunt Feedback LNA for LTE.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

2009
Modeling Approaches for Functional Verification of RF-SoCs: Limits and Future Requirements.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

A 2.7 mW, 90.3 dB DR Continuous-Time Quadrature Bandpass Sigma-Delta Modulator for GSM/EDGE Low-IF Receiver in 0.25 µm CMOS.
IEEE J. Solid State Circuits, 2009

Event gesteuerte Modellierung analoger Frontends für die funktionale Verifikation des RF-SoCs.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2009

UWB LNAs for Ground Penetrating Radar.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Capacitive Crosscoupling Biquad Polyphase Filter.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A double balanced power amplifier for S-band phased arrays in SiGe BiCMOS.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

2008
Effect of Mismatched Loop Delay in Continuous-Time Complex Sigma-Delta Modulators.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

A Polyphase Filter Design for Continuous-Time Quadrature Bandpass Sigma-Delta Modulators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

An over-voltage protection circuit for CMOS power amplifiers.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

Sallen-Key polyphase filter design.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

Continuous-time quadrature bandpass sigma-delta modulator with capacitive feedforward summation for GSM/EDGE low-IF receiver.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

Complex mismatch shaper for tree-structured DAC in multi-bit complex sigma-delta modulators.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

A comparison of bandwidth setting concepts for Q-enhanced LC-tanks in deep-sub micron CMOS processes.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

Issues on View Switching for RF SoC Verification.
Proceedings of the 2008 IEEE International Behavioral Modeling and Simulation Workshop, 2008

2006
Optimal portfolio strategies benchmarking the stock market.
Math. Methods Oper. Res., 2006

Optimal Portfolios Under Bounded Shortfall Risk and Partial Information.
Proceedings of the Operations Research, 2006

2004
A monolithic positioning system.
IEEE J. Solid State Circuits, 2004

Spectral shaping by generalized transfer function design in frequency modulation Sigma-Delta synthesizers.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2001
Self-calibrating linear OTAs exemplified in a current mode ADC.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

Influence of local matching effects on the accuracy of a sequential A/D-converter.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

1999
A linear operational transconductance amplifier with automatic offset cancellation and transconductance calibration.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Local matching properties of switching elements and their influence on the accuracy of switched differential current mirrors.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999


  Loading...