Christos Sakalis

Orcid: 0000-0003-4172-8607

According to our database1, Christos Sakalis authored at least 18 papers between 2014 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Delay-on-Squash: Stopping Microarchitectural Replay Attacks in Their Tracks.
ACM Trans. Archit. Code Optim., March, 2023

2021
Rethinking Speculative Execution from a Security Perspective.
PhD thesis, 2021

"It's a Trap!"-How Speculation Invariance Can Be Abused with Forward Speculative Interference.
CoRR, 2021

Selectively Delaying Instructions to Prevent Microarchitectural Replay Attacks.
CoRR, 2021

On Value Recomputation to Accelerate Invisible Speculation.
CoRR, 2021

Reorder Buffer Contention: A Forward Speculative Interference Attack for Speculation Invariant Instructions.
IEEE Comput. Archit. Lett., 2021

Seeds of SEED: Preventing Priority Inversion in Instruction Scheduling to Disrupt Speculative Interference.
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021

Do Not Predict - Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation.
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021

Splash-4: Improving Scalability with Lock-Free Constructs.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

2020
Understanding Selective Delay as a Method for Efficient Secure Speculative Execution.
IEEE Trans. Computers, 2020

Evaluating the Potential Applications of Quaternary Logic for Approximate Computing.
ACM J. Emerg. Technol. Comput. Syst., 2020

Clearing the Shadows: Recovering Lost Performance for Invisible Speculative Execution through HW/SW Co-Design.
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020

2019
Efficient invisible speculative execution through selective delay and value prediction.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Ghost loads: what is the cost of invisible speculation?
Proceedings of the 16th ACM International Conference on Computing Frontiers, 2019

2017
Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics.
IEEE Trans. Parallel Distributed Syst., 2017

2016
Splash-3: A properly synchronized benchmark suite for contemporary research.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016

POSTER: Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics.
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016

2014
Exploring assessment practices at university.
Proceedings of the 14th Koli Calling International Conference on Computing Education Research, 2014


  Loading...