Hanjoon Kim

According to our database1, Hanjoon Kim authored at least 11 papers between 2009 and 2019.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
Ghost routers: energy-efficient asymmetric multicore processors with symmetric NoCs.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019

2016
Design and Analysis of Hybrid Flow Control for Hierarchical Ring Network-on-Chip.
IEEE Trans. Computers, 2016

2014
Mutually Aware Prefetcher and On-Chip Network Designs for Multi-Cores.
IEEE Trans. Computers, 2014

Extending bufferless on-chip networks to high-throughput workloads.
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014

Transportation-network-inspired network-on-chip.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

2013
Clumsy Flow Control for High-Throughput Bufferless On-Chip Networks.
IEEE Comput. Archit. Lett., 2013

2012
Providing cost-effective on-chip network bandwidth in GPGPUs.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Scalable on-chip network in power constrained manycore processors.
Proceedings of the 2012 International Green Computing Conference, 2012

2011
Exploiting Mutual Awareness between Prefetchers and On-chip Networks in Multi-cores.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

2010
On-Chip Network Evaluation Framework.
Proceedings of the Conference on High Performance Computing Networking, 2010

2009
Router microarchitecture and scalability of ring topology in on-chip networks.
Proceedings of the Second International Workshop on Network on Chip Architectures, 2009


  Loading...