Harald Pretl

Orcid: 0000-0003-1519-076X

According to our database1, Harald Pretl authored at least 39 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Open-source design of integrated circuits.
Elektrotech. Informationstechnik, March, 2024

2023
An Open-Source Micro-Watt 130-dB Delta-Sigma Modulator with 600mVpp Input Range for DC-Coupled Biosignal Acquisition.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2023

A 370-nW Quad-Channel Multi-Mode Bio-Signal Acquisition AFE with 2.9-µVrms Input Noise.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2023

Hand gesture decoding using ultra-high-density EEG.
Proceedings of the 11th International IEEE/EMBS Conference on Neural Engineering, 2023

2022
Performance Analysis of Resonant-Fin Transistors and Their Application in RF-Circuit Design.
IEEE Access, 2022

A Receiver with Adiabatic and Harmonically Enriched Double-Frequency N-Path Drive.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
A Survey on Self-Interference Cancellation in Mobile LTE-A/5G FDD Transceivers.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Evaluating a Novel P300-Based Real-Time Image Ranking BCI.
Frontiers Comput. Sci., 2021

Low-power integrated transmitter design using frequency multiplication techniques.
Elektrotech. Informationstechnik, 2021

Microwatt power management: challenges of on-chip energy harvesting.
Elektrotech. Informationstechnik, 2021

A Compact Thermal Sensor with Duty-Cycle Modulation on 1200 µm<sup>2</sup> in 7nm FinFET.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2021

An Energy-Detection Impulse-Radio UWB Receiver.
Proceedings of the 19th IEEE International New Circuits and Systems Conference, 2021

A Low-Power Edge-Combining Transmitter Using Quadrature Signals for FSK Modulation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Digitally Intensive Mixed-Signal Approach for Self-Interference Cancellation in LTE-A/5G-Transceivers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Current-Mode Temperature Sensor with a ±1.56 °C Raw Error and Duty-Cycle Output in 16nm FinFET.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Online Classification of Cognitive Control Processes Using EEG and fNIRS: A Stroop Experiment.
Proceedings of the Human-Computer Interaction. Theory, Methods and Tools, 2021

2020
An RF-Energy Harvester and IR-UWB Transmitter for Ultra-Low-Power Battery-Less Biosensors.
IEEE Trans. Circuits Syst. I Fundam. Theory Appl., 2020

A Harmonic Rejection Strategy for 25% Duty-Cycle IQ-Mixers Using Digital-to-Time Converters.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Subthreshold-Hybrid Solutions for Thermal Sensor and Reference Circuits in Advanced CMOS.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

A 1.2-V 180-nm CMOS Low-Power Multi-Band Ring Oscillator based Frequency Synthesizer for Edge-Combining Transmitters.
Proceedings of the 18th IEEE International New Circuits and Systems Conference, 2020

A 1.2V, 1.1-dB NF, CMOS Low-Noise Amplifier using an Active-Tank Broadband Output Stage.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

A 1.2-V, 1.8-GHz low-power PLL using a class-F VCO for driving 900-MHz SRD band SC-circuits.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

An Untrimmed 14-bit Non-Binary SAR-ADC Using 0.37 fF-Capacitors in 180 nm for 1.1 µW at 4 kS/s.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

2019
Time-Controlled and FinFET Compatible Sub-Bandgap References Using Bulk-Diodes.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

A 0.5-V 180-nm CMOS Switched-Capacitor Temperature Sensor with 319 nJ/measurement.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

A 1.9-µW 7-GHz IR-UWB Transmitter with RF-Energy-Harvester in 180-nm CMOS for Battery-Less Bio-Sensors.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

A Mixed-Signal Circuit Technique for Cancellation of Multiple Modulated Spurs in 4G/5G Carrier-Aggregation Transceivers.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A No-Trim, Scaling-Friendly Thermal Sensor in 16nm FinFET Using Bulk Diodes as Sensing Elements.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

A Low-Noise Sub-Bandgap Reference with a ±0.64% Untrimmed Precision in 16nm FinFET.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A Mixed-Signal Circuit Technique for Cancellation of Interferers Modulated by LO Phase-Noise in 4G/5G CA Transceivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

From microwatt to gigabit: challenges of modern radio design.
Elektrotech. Informationstechnik, 2018

Digitally-intensive transceivers for future mobile communications - emerging trends and challenges.
Elektrotech. Informationstechnik, 2018

A 40nW, Sub-IV Truly 'Digital' Reverse Bandgap Reference Using Bulk-Diodes in 16nm FinFET.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
A Nonlinear Switched State-Space Model for Capacitive RF DACs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

13.2 A digital multimode polar transmitter supporting 40MHz LTE Carrier Aggregation in 28nm CMOS.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

A 15-bit 28nm CMOS fully-integrated 1.6W digital power amplifier for LTE IoT.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

Nonlinear least-mean-squares type algorithm for second-order interference cancellation in LTE-A RF transceivers.
Proceedings of the 51st Asilomar Conference on Signals, Systems, and Computers, 2017

2015
9.2 A single-chip HSPA transceiver with fully integrated 3G CMOS power amplifiers.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2013
A 65nm CMOS wide-band LNA with continuously tunable gain from 0dB to 24dB.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013


  Loading...