Hyein Lee

Orcid: 0000-0001-8037-2207

Affiliations:
  • University of California at San Diego, La Jolla, California, USA


According to our database1, Hyein Lee authored at least 16 papers between 2013 and 2020.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
Heuristic Methods for Fine-Grain Exploitation of FDSOI.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
Enhancing sensitivity-based power reduction for an industry IC design context.
Integr., 2019

2018
PROBE: A Placement, Routing, Back-End-of-Line Measurement Utility.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

2017
MILP-Based Optimization of 2-D Block Masks for Timing-Aware Dummy Segment Removal in Self-Aligned Multiple Patterning Layouts.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Performance- and energy-aware optimization of BEOL interconnect stack geometry in advanced technology nodes.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

Optimal multi-row detailed placement for yield and model-hardware correlation improvements in sub-10nm VLSI.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Vertical M1 Routing-Aware Detailed Placement for Congestion and Wirelength Reduction in Sub-10nm Nodes.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Measuring progress and value of IC implementation technology.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

2015
Scalable Detailed Placement Legalization for Complex Sub-14nm Constraints.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Evaluation of BEOL design rule impacts using an optimal ILP-based detailed router.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Timing margin recovery with flexible flip-flop timing model.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

Horizontal benchmark extension for improved assessment of physical CAD research.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

Minimum implant area-aware gate sizing and placement.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

2013
Learning-based approximation of interconnect delay and slew in signoff timing tools.
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2013

High-performance gate sizing with a signoff timer.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Smart non-default routing for clock power reduction.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013


  Loading...