Lei Gong

Orcid: 0000-0002-8391-5526

According to our database1, Lei Gong authored at least 97 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Enhancing Graph Random Walk Acceleration via Efficient Dataflow and Hybrid Memory Architecture.
IEEE Trans. Computers, March, 2024

Making it safe: linking healthcare workers' social media use to task performance during public health crises.
Ind. Manag. Data Syst., 2024

Machine Learning-enhanced loT and Wireless Sensor Networks for predictive analysis and maintenance in wind turbine systems.
Int. J. Intell. Networks, 2024

SDAC: A Multimodal Synthetic Dataset for Anomaly and Corner Case Detection in Autonomous Driving.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
Algorithm/Hardware Co-Optimization for Sparsity-Aware SpMM Acceleration of GNNs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Energy-Saving Strategy Based on Image Super-Resolution for Wireless Image Sensor Networks Assisted by Cloud.
Int. J. Pattern Recognit. Artif. Intell., June, 2023

Enabling Fast and Memory-Efficient Acceleration for Pattern Matching Workloads: The Lightweight Automata Processing Engine.
IEEE Trans. Computers, April, 2023

Continuous attractors of fuzzy coupled recurrent neural networks.
Int. J. Comput. Math., April, 2023

Late Fusion Multiple Kernel Clustering With Local Kernel Alignment Maximization.
IEEE Trans. Multim., 2023

AHY-SLAM: Toward Faster and More Accurate Visual SLAM in Dynamic Scenes Using Homogenized Feature Extraction and Object Detection Method.
Sensors, 2023

NeuralMAE: Data-Efficient Neural Architecture Predictor with Masked Autoencoder.
Proceedings of the Pattern Recognition and Computer Vision - 6th Chinese Conference, 2023

hAP: A Spatial-von Neumann Heterogeneous Automata Processor with Optimized Resource and IO Overhead on FPGA.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

DataMaster: A GNN-based Data Type Optimizer for Dataflow Design in FPGA.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

Enabling Elastic Resource Management in Cloud FPGAs via A Multi-layer Collaborative Approach.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

NAF: Deeper Network/Accelerator Co-Exploration for Customizing CNNs on FPGA.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

FastRW: A Dataflow-Efficient and Memory-Aware Accelerator for Graph Random Walk on FPGAs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Work-in-Progress: NAPMAE: Generalized Data-Efficient Neural Architecture Predictor with Masked Autoencoder.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

Sparse-HeteroCL: From Sparse Tensor Algebra to Highly Customized Accelerators on FPGAs.
Proceedings of the 23rd IEEE/ACM International Symposium on Cluster, 2023

A flexible dataflow CNN accelerator on FPGA.
Proceedings of the 23rd IEEE/ACM International Symposium on Cluster, 2023

2022
ViA: A Novel Vision-Transformer Accelerator Based on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

OctCNN: A High Throughput FPGA Accelerator for CNNs Using Octave Convolution Algorithm.
IEEE Trans. Computers, 2022

Conv-inheritance: A hardware-efficient method to compress convolutional neural networks for edge applications.
Neurocomputing, 2022

Inductive predictions of hydrologic events using a Long Short-Term Memory network and the Soil and Water Assessment Tool.
Environ. Model. Softw., 2022

Multi-clusters: An Efficient Design Paradigm of NN Accelerator Architecture Based on FPGA.
Proceedings of the Network and Parallel Computing, 2022

WGeod: A General and Efficient FPGA Accelerator for Object Detection.
Proceedings of the IEEE Intl Conf on Parallel & Distributed Processing with Applications, 2022

Attributed Graph Clustering with Dual Redundancy Reduction.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

Machining Hole Feature Recognition Method and Application for Manufacturability Check.
Proceedings of the ICVARS 2022: The 6th International Conference on Virtual and Augmented Reality Simulations, Brisbane, QLD, Australia, March 25, 2022

Cluster Analysis of Trip Purpose Based on Residents' Travel Characteristic.
Proceedings of the 7th IEEE International Conference on Intelligent Transportation Engineering, 2022

Interactive Semantic Segmentation With Weak Supervision.
Proceedings of the ICCAI '22: 8th International Conference on Computing and Artificial Intelligence, Tianjin, China, March 18, 2022

SDMA: An Efficient and Flexible Sparse-Dense Matrix-Multiplication Architecture for GNNs.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

Work-in-Progress: BloCirNN: An Efficient Software/hardware Codesign Approach for Neural Network Accelerators with Block-Circulant Matrix.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2022

Work-in-Progress: HeteroRW: A Generalized and Efficient Framework for Random Walks in Graph Analysis.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2022

2021
SOLAR: Services-Oriented Deep Learning Architectures-Deep Learning as a Service.
IEEE Trans. Serv. Comput., 2021

Improving HW/SW Adaptability for Accelerating CNNs on FPGAs Through A Dynamic/Static Co-Reconfiguration Approach.
IEEE Trans. Parallel Distributed Syst., 2021

Four-Factor Polarity Switching Control for Synchronous Vibration Suppression of Active Magnetic Bearings Rigid Rotors System in the Full Rotational Speed Range.
IEEE Trans. Ind. Electron., 2021

Synchronous Vibration Control for Magnetically Suspended Rotor System Using a Variable Angle Compensation Algorithm.
IEEE Trans. Ind. Electron., 2021

Vibration Suppression for Magnetically Levitated High-Speed Motors Based on Polarity Switching Tracking Filter and Disturbance Observer.
IEEE Trans. Ind. Electron., 2021

GenSeq+: A Scalable High-Performance Accelerator for Genome Sequencing.
IEEE ACM Trans. Comput. Biol. Bioinform., 2021

Tinker: A Middleware for Deploying Multiple NN-Based Applications on a Single Machine.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

An FPGA Based Accelerator for Clustering Algorithms With Custom Instructions.
IEEE Trans. Computers, 2021

Extracting Key Traffic Parameters from UAV Video with On-Board Vehicle Data Validation.
Sensors, 2021

Neural Network Instruction Set Extension and Code Mapping Mechanism.
Int. J. Softw. Informatics, 2021

FEAS: A Faster Event-driven Accelerator Supporting Inhibitory Spiking Neural Network.
Proceedings of the 12th International Symposium on Parallel Architectures, 2021

Vapor: A GPU Sharing Scheduler with Communication and Computation Pipeline for Distributed Deep Learning.
Proceedings of the 2021 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September 30, 2021

UH-JLS: A Parallel Ultra-High Throughput JPEG-LS Encoding Architecture for Lossless Image Compression.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

LAP: A Lightweight Automata Processor for Pattern Matching Tasks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

3D Object Detection on Voxels in Spherical Coordinate System.
Proceedings of the 7th International Conference on Big Data Computing and Communications, 2021

2020
A Ubiquitous Machine Learning Accelerator With Automatic Parallelization on FPGA.
IEEE Trans. Parallel Distributed Syst., 2020

WinoNN: Optimizing FPGA-Based Convolutional Neural Network Accelerators Using Sparse Winograd Algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

WooKong: A Ubiquitous Accelerator for Recommendation Algorithms With Custom Instruction Sets on FPGA.
IEEE Trans. Computers, 2020

Dimensionality Reduction Using Discriminant Collaborative Locality Preserving Projections.
Neural Process. Lett., 2020

Immersion and Invariance Manifold Adaptive Control of the DC-Link Voltage in Flywheel Energy Storage System Discharge.
IEEE Access, 2020

FutureWater Indiana: A science gateway for spatio-temporal modeling of water in Wabash basin with a focus on climate change.
Proceedings of the PEARC '20: Practice and Experience in Advanced Research Computing, 2020

Lightweight Map-Enhanced 3D Object Detection and Tracking for Autonomous Driving.
Proceedings of the Internetware'20: 12th Asia-Pacific Symposium on Internetware, 2020

Optimization of Capacity Utilization of High-Speed Railway Network.
Proceedings of the 5th IEEE International Conference on Intelligent Transportation Engineering, 2020

Optimize Train Operation Plan of Urban Rail Transit Including Temporal Variation of Passenger Ridership During the Day.
Proceedings of the 5th IEEE International Conference on Intelligent Transportation Engineering, 2020

Chameleon: Image Style Transfer Based on Image Classification Networks.
Proceedings of the 22nd IEEE International Conference on High Performance Computing and Communications; 18th IEEE International Conference on Smart City; 6th IEEE International Conference on Data Science and Systems, 2020

ConvCloud: An Adaptive Convolutional Neural Network Accelerator on Cloud FPGAs.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

WiderFrame: An Automatic Customization Framework for Building CNN Accelerators on FPGAs: Work-in-Progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2020

OctCNN: An Energy-Efficient FPGA Accelerator for CNNs using Octave Convolution Algorithm.
Proceedings of the IEEE International Conference on Cluster Computing, 2020

2019
A feasible incentive contract between a manufacturer and his fairness-sensitive retailer engaged in strategic marketing efforts.
J. Intell. Manuf., 2019

FPNet: Customized Convolutional Neural Network for FPGA Platforms.
Proceedings of the International Conference on Field-Programmable Technology, 2019

Design Exploration of Multi-FPGAs for Accelerating Deep Learning.
Proceedings of the 2019 IEEE International Conference on Cluster Computing, 2019

RV-CNN: Flexible and Efficient Instruction Set for CNNs Based on RISC-V Processors.
Proceedings of the Advanced Parallel Processing Technologies, 2019

2018
MALOC: A Fully Pipelined FPGA Accelerator for Convolutional Neural Networks With All Layers Mapped on Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Sensor Alignment for Ballistic Trajectory Estimation via Sparse Regularization.
Inf., 2018

UniCNN: A Pipelined Accelerator Towards Uniformed Computing for CNNs.
Int. J. Parallel Program., 2018

SparseNN: A Performance-Efficient Accelerator for Large-Scale Sparse Neural Networks.
Int. J. Parallel Program., 2018

Domino: Graph Processing Services on Energy-Efficient Hardware Accelerator.
Proceedings of the 2018 IEEE International Conference on Web Services, 2018

Domino: An Asynchronous and Energy-efficient Accelerator for Graph Processing: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Threat Modeling for Cyber Range: An Ontology-Based Approach.
Proceedings of the Communications, Signal Processing, and Systems, 2018

Furion: alleviating overheads for deep learning framework on single machine (work-in-progress).
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018

2017
DLAU: A Scalable Deep Learning Accelerator Unit on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Consumer free riding: Coordinating sales effort in a dual-channel supply chain.
Electron. Commer. Res. Appl., 2017

Reconfigurable Hardware Accelerators: Opportunities, Trends, and Challenges.
CoRR, 2017

Implementation and Optimization of the Accelerator Based on FPGA Hardware for LSTM Network.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

A High-Performance Accelerator for Large-Scale Convolutional Neural Networks.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Evaluation and Trade-offs of Graph Processing for Cloud Services.
Proceedings of the 2017 IEEE International Conference on Web Services, 2017

xFilter: A Temporal Locality Accelerator for Intrusion Detection System Services.
Proceedings of the 2017 IEEE International Conference on Web Services, 2017

GenServ: Genome Sequencing Services on Scalable Energy Efficient Accelerators.
Proceedings of the 2017 IEEE International Conference on Web Services, 2017

FPGA Based Big Data Accelerator Design in Teaching Computer Architecture and Organization.
Proceedings of the Cyber Physical Systems. Design, Modeling, and Evaluation, 2017

A power-efficient and high performance FPGA accelerator for convolutional neural networks: work-in-progress.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

A Power-Efficient Accelerator Based on FPGAs for LSTM Network.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

OmniGraph: A Scalable Hardware Accelerator for Graph Processing.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

A Power-Efficient Accelerator for Convolutional Neural Networks.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

A high-performance FPGA accelerator for sparse neural networks: work-in-progress.
Proceedings of the 2017 International Conference on Compilers, 2017

2015
Impacts of Seasonal Factors on Travel Behavior: Basic Analysis of GPS Trajectory Data for 8 Months.
Proceedings of the Serviceology for Smart Service System, 2015

2013
Expanding LTE network spectrum with cognitive radios: From concept to implementation.
IEEE Wirel. Commun., 2013

2011
The mathematical model based on the battle of Berlin.
Proceedings of the Eighth International Conference on Fuzzy Systems and Knowledge Discovery, 2011

Application information flow non-interference transmission model.
Proceedings of the International Conference on Electronic and Mechanical Engineering and Information Technology, 2011

2010
Link availability prediction with radio irregularity coverage for mobile multi-hop networks.
IEEE Commun. Lett., 2010

A new method in the long-term mortality related With heart valve replacement.
Proceedings of the Seventh International Conference on Fuzzy Systems and Knowledge Discovery, 2010

Research on the Application Security Isolation Model.
Proceedings of the Forensics in Telecommunications, Information, and Multimedia, 2010

2008
Link Availability Prediction in Ad Hoc Networks.
Proceedings of the 14th International Conference on Parallel and Distributed Systems, 2008

Application of clustering structure in the hierarchical spectrum sharing network based on cognitive radio.
Proceedings of the 3rd International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2008

2007
Improving Networks Application-awareness with Policies.
Proceedings of the Third International Conference on Semantics, 2007

A QoS Oriented Network Service Architecture for Grid Applications.
Proceedings of the Future Generation Communication and Networking, 2007


  Loading...