Mengchu Li

Orcid: 0000-0001-8239-2674

According to our database1, Mengchu Li authored at least 25 papers between 2016 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Improving reliability of assembly supply chains with disruption risk: stakeholders' subsidy interplay.
Int. J. Prod. Res., February, 2024

Federated Transfer Learning with Differential Privacy.
CoRR, 2024

2023
Integrated Test Module Design for Microfluidic Large-Scale Integration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2023

FXT-Route: Efficient High-Performance PCB Routing with Crosstalk Reduction Using Spiral Delay Lines.
Proceedings of the 2023 International Symposium on Physical Design, 2023

ARMM: Adaptive Reliability Quantification Model of Microfluidic Designs and its Graph-Transformer-Based Implementation.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

XRing: A Crosstalk-Aware Synthesis Method for Wavelength-Routed Optical Ring Routers.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
Network change point localisation under local differential privacy.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

CoMUX: Combinatorial-Coding-Based High-Performance Microfluidic Control Multiplexer Design.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Contamination-Free Switch Design and Synthesis for Microfluidic Large-Scale Integration.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Adversarially Robust Change Point Detection.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Relative-Scheduling-Based High-Level Synthesis for Flow-Based Microfluidic Biochips.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

ToPro: A Topology Projector and Waveguide Router for Wavelength-Routed Optical Networks-on-Chip.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Manufacturing Cycle-Time Optimization Using Gaussian Drying Model for Inkjet-Printed Electronics.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Light: A Scalable and Efficient Wavelength-Routed Optical Networks-On-Chip Topology.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-On-Chips.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Wavelength-Routed Optical NoCs: Design and EDA - State of the Art and Future Directions: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

Cloud Columba: Accessible Design Automation Platform for Production and Inspiration: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

VOM: Flow-Path Validation and Control-Sequence Optimization for Multilayered Continuous-Flow Microfluidic Biochips.
Proceedings of the International Conference on Computer-Aided Design, 2019

2018
Columba 2.0: A Co-Layout Synthesis Tool for Continuous-Flow Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

CustomTopo: a topology generation method for application-specific wavelength-routed optical NoCs.
Proceedings of the International Conference on Computer-Aided Design, 2018

Columba S: a scalable co-layout design automation tool for microfluidic large-scale integration.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Component-Oriented High-level Synthesis for Continuous-Flow Microfluidics Considering Hybrid-Scheduling.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Reliability-Aware Synthesis With Dynamic Device Mapping and Fluid Routing for Flow-Based Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Sieve-valve-aware synthesis of flow-based microfluidic biochips considering specific biological execution limitations.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Columba: co-layout synthesis for continuous-flow microfluidic biochips.
Proceedings of the 53rd Annual Design Automation Conference, 2016


  Loading...