Michel R. C. M. Berkelaar

According to our database1, Michel R. C. M. Berkelaar authored at least 14 papers between 1988 and 2004.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2004
Layout Driven Optimization of Datapath Circuits using Arithmetic Reasoning.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2004

2002
Efficient and Effective Redundancy Removal for Million-Gate Circuits.
Proceedings of the 2002 Design, 2002

2000
Gate Sizing Using a Statistical Delay Model.
Proceedings of the 2000 Design, 2000

1998
Computing observability don't cares efficiently through polarization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Efficient exact and heuristic minimization of hazard-free logic.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

An Efficient Divide and Conquer Algorithm for Exact Hazard Free Logic Minimization.
Proceedings of the 1998 Design, 1998

1997
Improved State Assignment for Burst Mode Finite State Machines.
Proceedings of the 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 1997

1996
Computing the entire active area/power consumption versus delay tradeoff curve for gate sizing with a piecewise linear simulator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Polarized observability don't cares.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

1995
Efficient orthonormality testing for synthesis with pass-transistor selectors.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Efficient use of large don't cares in high-level and logic synthesis.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

1994
Computing the entire active area/power consumption versus delay trade-off curve for gate sizing with a piecewise linear simulator.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

1990
Gate sizing in MOS digital circuits with linear programming.
Proceedings of the European Design Automation Conference, 1990

1988
Technology mapping for standard-cell generators.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988


  Loading...