Jochen A. G. Jess

According to our database1, Jochen A. G. Jess authored at least 52 papers between 1982 and 2006.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2006
Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

2003
Codeübersetzung unter Zeitvorgaben für eingebettete Signalprozessoren (Performance Controlled Compilation for Embedded Signal Processors).
it Inf. Technol., 2003

2002
Parametric Yield Estimation for Deep Sub- Micron VLSI Circuits.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

2001
Static resource models of instruction sets.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Phase coupled operation assignment for VLIW processors with distributed register files.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Constraint Satisfaction for Relative Location Assignment and Scheduling.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Constraint satisfaction for storage files with Fifos or stacks during scheduling.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
A code-motion pruning technique for global scheduling.
ACM Trans. Design Autom. Electr. Syst., 2000

Constraint analysis for code generation: basic techniques and applications in FACTS.
ACM Trans. Design Autom. Electr. Syst., 2000

Designing electronic engines with electronic engines: 40 years ofbootstrapping of a technology upon itself.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Prophid: A Platform-Based Design Method.
Des. Autom. Embed. Syst., 2000

Register Binding for Predicated Execution in DSP Applications.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

Address Satisfaction for Storage Files with Fifos or Stacks during Scheduling of DSP Algorithms.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

1999
Constraint analysis for DSP code generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Exploiting State Equivalence on the Fly while Applying Code Motion and Speculation.
Proceedings of the 1999 Design, 1999

A Reordering Technique for Efficient Code Motion.
Proceedings of the 36th Conference on Design Automation, 1999

1998
A Constraint Driven Approach to Loop Pipelining and Register Binding.
Proceedings of the 1998 Design, 1998

Stream Communication between Real-Time Tasks in a High-Performance Multiprocessor.
Proceedings of the 1998 Design, 1998

1997
Constraint Analysis for DSP Code Generation.
Proceedings of the 10th International Symposium on System Synthesis, 1997

PROPHID: A Heterogeneous Multi-Processor Architecture for Multimedia.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

PROPHID: a data-driven multi-processor architecture for high-performance DSP.
Proceedings of the European Design and Test Conference, 1997

1996
An efficient CMOS bridging fault simulator: with SPICE accuracy.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Computing the entire active area/power consumption versus delay tradeoff curve for gate sizing with a piecewise linear simulator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

A Constructive Method for Exploiting Code Motion.
Proceedings of the 9th International Symposium on System Synthesis, 1996

Exploiting Functional Dependencies in Finite State Machine Verification.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
Exact scheduling strategies based on bipartite graph matching.
Proceedings of the 1995 European Design and Test Conference, 1995

Efficient code generation for in-house DSP-cores.
Proceedings of the 1995 European Design and Test Conference, 1995

Analysis and reduction of glitches in synchronous networks.
Proceedings of the 1995 European Design and Test Conference, 1995

Run-time consistency checking in discrete simulation models.
Proceedings of the 1995 European Design and Test Conference, 1995

Conflict Modelling and Instruction Scheduling in Code Generation for In-House DSP Cores.
Proceedings of the 32st Conference on Design Automation, 1995

High-level synthesis scheduling and allocation using genetic algorithms.
Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29, 1995

1994
NEAT: An Object Oriented High-Level Synthesis Interface.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Computing the entire active area/power consumption versus delay trade-off curve for gate sizing with a piecewise linear simulator.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Probability Analysis for CMOS Floating Gate Faults.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

On Design Rule Correct Maze Routing.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

Routing for Manufacturability.
Proceedings of the 31st Conference on Design Automation, 1994

1993
General gate array routing using a k-terminal net routing algorithm with failure prediction.
IEEE Trans. Very Large Scale Integr. Syst., 1993

On CMOS bridge fault modeling and test pattern evaluation.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

A Multiple Terminal Net Routing Algorithm Using Failure Prediction.
Proceedings of the Sixth International Conference on VLSI Design, 1993

Parameter Monitoring: Advantages and Pitfalls.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

On Accurate Modeling and Efficient Simulation of CMOS Opens.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

A net-oriented method for realistic fault analysis.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Execution interval analysis under resource constraints.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Fast Multi-Layer Critical Area Computation.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1993

1992
Foreground memory management in data path synthesis.
Int. J. Circuit Theory Appl., 1992

1991
A Generic Method to Develop a Defect Monitoring System for IC Processes.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

1990
Gate sizing in MOS digital circuits with linear programming.
Proceedings of the European Design Automation Conference, 1990

1989
On the design and implementation of a wafer yield editor.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

Qualification and Quantification of Process-Induced Product-Related Defects.
Proceedings of the Proceedings International Test Conference 1989, 1989

A layout defect-sensitivity extractor.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

1988
Technology mapping for standard-cell generators.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

1982
A Data Structure for Parallel <i>L/U</i> Decomposition.
IEEE Trans. Computers, 1982


  Loading...