Muhammad Adeel Pasha

Orcid: 0000-0001-9892-5201

According to our database1, Muhammad Adeel Pasha authored at least 46 papers between 2009 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Hardware-friendly tone-mapping operator design and implementation for real-time embedded vision applications.
Comput. Electr. Eng., September, 2023

Towards designing a hardware accelerator for 3D convolutional neural networks.
Comput. Electr. Eng., January, 2023

FPGA Based Intelligent Hardware Trojan Design and its SoC Implementation.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

ReDiSto: A Resource-Efficient and Accurate Divider Circuit for Stochastic Computing.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

2022
Energy-Efficient Cache-Aware Scheduling on Heterogeneous Multicore Systems.
IEEE Trans. Parallel Distributed Syst., 2022

ModuloNET: Neural Networks Meet Modular Arithmetic for Efficient Hardware Masking.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2022

Effects of Conventional UPS vs Smart Energy Metering Solution on Harmonics and Grid Stability for Low Voltage Consumers in Developing Countries: A Case Study of Pakistan.
Proceedings of the IEEE International Smart Cities Conference, 2022

FPGA Implementation of IEEE 1588 Protocol for Bluetooth-based Distributed Wireless Systems.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Variable Length Quantization based Design of Polar Codes Decoder for Resource-Limited IoT Devices.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

2021
A Volunteer-Supported Fog Computing Environment for Delay-Sensitive IoT Applications.
IEEE Internet Things J., 2021

IoT-enabled Smart Energy Metering Solution with Soft-UPS for Developing Countries.
Proceedings of the 2021 11th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications (IDAACS), 2021

2020
Energy-efficient Real-time Scheduling on Multicores: A Novel Approach to Model Cache Contention.
ACM Trans. Embed. Comput. Syst., 2020

FFConv: An FPGA-based Accelerator for Fast Convolution Layers in Convolutional Neural Networks.
ACM Trans. Embed. Comput. Syst., 2020

Optimizing Hardware Accelerated General Matrix-Matrix Multiplication for CNNs on FPGAs.
IEEE Trans. Circuits Syst., 2020

Effects of non-normal quality data on the integrated model of imperfect maintenance, early replacement, and economic design of ${\bar{X}}$-control charts.
Oper. Res., 2020

A generalized version of Ben-Daya-Rahim (2000) and Rahim-Banerjee (1993) cost models in economic design of X-control charts for systems with early replacement and preventive maintenance under decreasing integrated hazard.
Commun. Stat. Simul. Comput., 2020

A Hybrid Instruction and Functional Level Energy Estimation Framework for Embedded Processors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Accelerating Tiny YOLOv3 using FPGA-Based Hardware/Software Co-Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
Energy-Efficient Multicore Scheduling for Hard Real-Time Systems: A Survey.
ACM Trans. Embed. Comput. Syst., 2019

A framework for high-level simulation and optimization of fine-grained reconfigurable architectures.
Simul., 2019

Towards design and automation of a scalable split-radix FFT processor for high throughput applications.
Microprocess. Microsystems, 2019

An Improved Model for System-Level Energy Minimization on Real-Time Systems.
Proceedings of the 27th IEEE International Symposium on Modeling, 2019

Multi-hop Routing Implementation in Spatially Distributed Wireless Sensor Networks for Large-Scale Environmental Monitoring Applications.
Proceedings of the 10th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, 2019

Towards Design Space Exploration and Optimization of Fast Algorithms for Convolutional Neural Networks (CNNs) on FPGAs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
A generalized model for multiplicity-cause economic and economic statistical design of -control charts with proportional hazards shock model.
Commun. Stat. Simul. Comput., 2018

Effects of non-normality on economic and economic statistical designs of -control charts with multiple assignable causes and Weibull in-control times.
Commun. Stat. Simul. Comput., 2018

Single LED ceiling lamp based indoor positioning system.
Proceedings of the 4th IEEE World Forum on Internet of Things, 2018

A novel fusion methodology for indoor positioning in IoT-based mobile applications.
Proceedings of the 4th IEEE World Forum on Internet of Things, 2018

Performance Analysis of TDOA-based Indoor Positioning Systems using Visible LED Lights.
Proceedings of the 2018 IEEE 4th International Symposium on Wireless Systems within the International Conferences on Intelligent Data Acquisition and Advanced Computing Systems (IDAACS-SWS), 2018

Empirical Performance Evaluation of WIFI Fingerprinting Algorithms for Indoor Localization.
Proceedings of the IEEE International Conference on Communication Systems, 2018

2017
A simulation framework for code-level energy estimation of embedded soft-core processors.
Simul., 2017

Area-efficient and high-throughput hardware implementations of TAV-128 hash function for resource-constrained IoT devices.
Proceedings of the 9th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, 2017

Energy efficient sensor network routing (EESNR) protocol for large distributed environmental monitoring applications.
Proceedings of the 9th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, 2017

Performance evaluation of IEEE 802.15.4-compliant smart water meters for automating large-scale waterways.
Proceedings of the 9th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, 2017

Towards Design and Automation of Hardware-Friendly NOMA Receiver with Iterative Multi-User Detection.
Proceedings of the 54th Annual Design Automation Conference, 2017

A Framework for High Level Simulation and Optimization of Coarse-Grained Reconfigurable Architectures.
Proceedings of the Applied Reconfigurable Computing - 13th International Symposium, 2017

2016
A Novel split radix fast fourier transform design for an adaptive and scalable implementation.
Proceedings of the 3rd International Symposium on Wireless Systems within the Conferences on Intelligent Data Acquisition and Advanced Computing Systems, 2016

2015
I-LEACH: energy-efficient routing protocol for monitoring of irrigation canals.
Simul., 2015

Indoor Positioning Using Visible LED Lights: A Survey.
ACM Comput. Surv., 2015

LED-based Visible Light Communication System for Low Data Rate Point-and-Grab Applications.
Proceedings of the 13th International Conference on Frontiers of Information Technology, 2015

A design-flow for high-level synthesis and resource estimation of reconfigurable architectures.
Proceedings of the 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015

2013
Component-Level Datapath Merging in System-Level Design of Wireless Sensor Node Controllers for FPGA-Based Implementations.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

2012
System-Level Synthesis for Wireless Sensor Node Controllers: A Complete Design Flow.
ACM Trans. Design Autom. Electr. Syst., 2012

2010
System Level Synthesis for Ultra Low-Power Wireless Sensor Nodes.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking.
Proceedings of the 47th Design Automation Conference, 2010

2009
Ultra Low-power FSM for Control Oriented Applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009


  Loading...