Rashad Ramzan

Orcid: 0000-0002-3692-565X

According to our database1, Rashad Ramzan authored at least 25 papers between 2006 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Bondwire Inductor Based Flash ADC Assisted DC-DC Buck Converter.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Bi-Directional Time Domain Duplexing (TDD) Amplifier for 5G Applications.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

AC-Logic Family for Smart Dust and IoT Applications.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

Quadrature RF-Only Logic Family for Single-Chip Self-Powered Transceivers.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

2022
A High Throughput True Random Number Generator using Metastability and Chaos.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

2021
Passive Duplexers for 5G mm-Wave Applications.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

2020
Performance-Issues-Mitigation-Techniques for On-Chip-Antennas - Recent Developments in RF, MM-Wave, and Thz Bands With Future Directions.
IEEE Access, 2020

2018
50-830 MHz noise and distortion canceling CMOS low noise amplifier.
Integr., 2018

Electromagnetically Induced Absorption in the Near-Field of Microwave Radiative Elements With Application to Foliage Moisture Sensing.
IEEE Access, 2018

A 2.6 mW Single-Ended Positive Feedback LNA for 5G Applications.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

2015
High-Efficiency Fully CMOS VCO Rectifier for Microwatt Resonant Wireless Power Transfer.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

RF calibration of on-chip DfT chain by DC stimuli and statistical multivariate regression technique.
Integr., 2015

Intelligent Traffic Alert System for Smart Cities.
Proceedings of the 2015 IEEE International Conference on Smart City/SocialCom/SustainCom/DataCom/SC2 2015, 2015

Comparison and design of VCOs for ultra-low power CMOS temperature sensors.
Proceedings of the International Conference on Communications, 2015

2014
Design layout optimization in the presence of proximity-dependent stress effects.
Proceedings of the 2014 IEEE International Conference on IC Design & Technology, 2014

Highly linear inductively degenerated 0.13μm CMOS LNA using FDC technique.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Wideband and multiband CMOS LNAs: State-of-the-art and future prospects.
Microelectron. J., 2013

COTS based multichannel FM receiver and recorder using SDR concept.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

2011
Using evolutionary algorithms for ECG Arrhythmia detection and classification.
Proceedings of the Seventh International Conference on Natural Computation, 2011

2010
Built-in Loopback Test for IC RF Transceivers.
IEEE Trans. Very Large Scale Integr. Syst., 2010

On-Chip Stimulus Generator for Gain, Linearity, and Blocking Profile Test of Wideband RF Front Ends.
IEEE Trans. Instrum. Meas., 2010

2007
A 1.4V 25mW Inductorless Wideband LNA in 0.13μm CMOS.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Multiband direct RF-sampling receiver front-end for WLAN in 0.13 μm CMOS.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Interactive presentation: Boosting SER test for RF transceivers by simple DSP technique.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
LNA design for on-chip RF test.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006


  Loading...