Shirshendu Das

Orcid: 0000-0002-9232-4306

According to our database1, Shirshendu Das authored at least 44 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
RSPP: Restricted Static Pseudo-Partitioning for Mitigation of Cross-Core Covert Channel Attacks.
ACM Trans. Design Autom. Electr. Syst., March, 2024

2023
edAttack: Hardware Trojan Attack on On-Chip Packet Compression.
IEEE Des. Test, December, 2023

Variation aware power management for GPU memories.
Microprocess. Microsystems, February, 2023

TPPD: Targeted Pseudo Partitioning based Defence for cross-core covert channel attacks.
J. Syst. Archit., February, 2023

ACPC: Covert Channel Attack on Last Level Cache using Dynamic Cache Partitioning.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

2022
Process variation aware DRAM-Cache resizing.
J. Syst. Archit., 2022

BHT-NoC: Blaming Hardware Trojans in NoC Routers.
IEEE Des. Test, 2022

VAR-DRAM: Variation-Aware Framework for Efficient Dynamic Random Access Memory Design.
CoRR, 2022

WinDRAM: Weak rows as in-DRAM cache.
Concurr. Comput. Pract. Exp., 2022

Hybrid Refresh: Improving DRAM Performance by Handling Weak Rows Smartly.
Proceedings of the 2022 International Symposium on Memory Systems, 2022

A Case for Amplifying Row Hammer Attacks via Cell-Coupling in DRAM Devices.
Proceedings of the 2022 International Symposium on Memory Systems, 2022

PV-aware Replacement Policy for Two-level Shared Cache.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2022

2021
Towards Enhanced System Efficiency while Mitigating Row Hammer.
ACM Trans. Archit. Code Optim., 2021

Efficient Cache Resizing policy for DRAM-based LLCs in ChipMultiprocessors.
J. Syst. Archit., 2021

A Survey on Cache Timing Channel Attacks for Multicore Processors.
J. Hardw. Syst. Secur., 2021

Efficient On-chip Communication for Neuromorphic Systems.
Proceedings of the 2021 IEEE SmartWorld, 2021

MAPCP: Memory Access Pattern Classifying Prefetcher.
Proceedings of the MEMSYS 2021: The International Symposium on Memory Systems, Washington, USA, September 27, 2021

Towards Row Sensitive DRAM Refresh through Retention Awareness.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

A Fairness Conscious Cache Replacement Policy for Last Level Cache.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Exploiting Secrets by Leveraging Dynamic Cache Partitioning of Last Level Cache.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2019
Cost effective routing techniques in 2D mesh NoC using on-chip transmission lines.
J. Parallel Distributed Comput., 2019

State Preserving Dynamic DRAM Bank Re-Configurations for Enhanced Power Efficiency.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

2018
Dynamic Thermal Management by Using Task Migration in Conjunction with Frequency Scaling for Chip Multiprocessors.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

2017
Dynamic Associativity Management in Tiled CMPs by Runtime Adaptation of Fellow Sets.
IEEE Trans. Parallel Distributed Syst., 2017

Latency Aware Block Replacement for L1 Caches in Chip Multiprocessor.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

2016
A Framework for Block Placement, Migration, and Fast Searching in Tiled-DNUCA Architecture.
ACM Trans. Design Autom. Electr. Syst., 2016

Towards a Better Cache Utilization by Selective Data Storage for CMP Last Level Caches.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Tag only storage for capacity optimised last level cache in chip multiprocessors.
Proceedings of the 20th International Symposium on VLSI Design and Test, 2016

Dynamic associativity enabled DNUCA to improve block localisation in tiled CMPs.
Proceedings of the 31st Annual ACM Symposium on Applied Computing, 2016

Static energy efficient cache reconfiguration for dynamic NUCA in tiled CMPs.
Proceedings of the 31st Annual ACM Symposium on Applied Computing, 2016

2015
Exploration of Migration and Replacement Policies for Dynamic NUCA over Tiled CMPs.
Proceedings of the 28th International Conference on VLSI Design, 2015

An efficient searching mechanism for dynamic NUCA in chip multiprocessors.
Proceedings of the 19th International Symposium on VLSI Design and Test, 2015

Power aware cache miss reduction by energy efficient victim retention.
Proceedings of the 19th International Symposium on VLSI Design and Test, 2015

Static energy reduction by performance linked cache capacity management in tiled CMPs.
Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2015

Dynamic associativity management using utility based way-sharing.
Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2015

Performance Constrained Static Energy Reduction Using Way-Sharing Target-Banks.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015

2014
Victim retention for reducing cache misses in tiled chip multiprocessors.
Microprocess. Microsystems, 2014

An Approach for Multicast Routing in Networks-on-Chip.
Proceedings of the 2014 International Conference on Information Technology, 2014

RT-DVS for Power Optimization in Multiprocessor Real-Time Systems.
Proceedings of the 2014 International Conference on Information Technology, 2014

2013
Design and formal verification of a hierarchical cache coherence protocol for NoC based multiprocessors.
J. Supercomput., 2013

A formal framework for interfacing mixed-timing systems.
Integr., 2013

Random-LRU: A Replacement Policy for Chip Multiprocessors.
Proceedings of the VLSI Design and Test, 17th International Symposium, 2013

Dynamic Associativity Management Using Fellow Sets.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

Towards a Better Cache Utilization Using Controlled Cache Partitioning.
Proceedings of the IEEE 11th International Conference on Dependable, 2013


  Loading...