Abdullah Muzahid

Orcid: 0000-0001-8145-815X

According to our database1, Abdullah Muzahid authored at least 40 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Enhancing Collective Communication in MCM Accelerators for Deep Learning Training.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2023
WHISTLE: CPU Abstractions for Hardware and Software Memory Safety Invariants.
IEEE Trans. Computers, March, 2023

Adaptive Gradient Prediction for DNN Training.
CoRR, 2023

Large Language Models Based Automatic Synthesis of Software Specifications.
CoRR, 2023

SmartIndex: Learning to Index Caches to Improve Performance.
IEEE Comput. Archit. Lett., 2023

ADA-GP: Accelerating DNN Training By Adaptive Gradient Prediction.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

MERCURY: Accelerating DNN Training By Exploiting Input Similarity.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

Post-Silicon Customization Using Deep Neural Networks.
Proceedings of the Architecture of Computing Systems - 36th International Conference, 2023

Attack of the Knights: Non Uniform Cache Side Channel Attack.
Proceedings of the Annual Computer Security Applications Conference, 2023

2022
Synthesizing Programs with Continuous Optimization.
CoRR, 2022

2021
XMeter: Finding Approximable Functions and Predicting Their Accuracy.
IEEE Trans. Computers, 2021

Attacks of the Knights: Exploiting Non Uniform Cache Access Time.
CoRR, 2021

SIMCNN - Exploiting Computational Similarity to Accelerate CNN Training in Hardware.
CoRR, 2021

Continual Learning Approach for Improving the Data and Computation Mapping in Near-Memory Processing System.
CoRR, 2021

Learning Fitness Functions for Machine Programming.
Proceedings of Machine Learning and Systems 2021, 2021

Communication Algorithm-Architecture Co-Design for Distributed Deep Learning.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

2020
FORECASTER: A Continual Lifelong Learning Approach to Improve Hardware Efficiency.
CoRR, 2020

ReViCe: Reusing Victim Cache to Prevent Speculative Cache Leakage.
Proceedings of the IEEE Secure Development, SecDev 2020, Atlanta, GA, USA, 2020

2019
NetSyn: Neural Evolutionary Technique to Synthesize Programs.
CoRR, 2019

A Zero-Positive Learning Approach for Diagnosing Software Performance Regressions.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

2018
Bugaroo: Exposing Memory Model Bugs in Many-Core Systems.
Proceedings of the 29th IEEE International Symposium on Software Reliability Engineering, 2018

2017
AutoCon: Regression Testing for Detecting Cache Contention Anomalies Using Autoencoder.
CoRR, 2017

Approximeter: Automatically finding and quantifying code sections for approximation.
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017

SyncPerf: Categorizing, Detecting, and Diagnosing Synchronization Performance Bugs.
Proceedings of the Twelfth European Conference on Computer Systems, 2017

2016
Accuracy Bugs: A New Class of Concurrency Bugs to Exploit Algorithmic Noise Tolerance.
ACM Trans. Archit. Code Optim., 2016

Detecting, Exposing, and Classifying Sequential Consistency Violations.
Proceedings of the 27th IEEE International Symposium on Software Reliability Engineering, 2016

Approximate Lock: Trading off Accuracy for Performance by Skipping Critical Sections.
Proceedings of the 27th IEEE International Symposium on Software Reliability Engineering, 2016

Production-Run Software Failure Diagnosis via Adaptive Communication Tracking.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016

Hardware-Based Sequential Consistency Violation Detection Made Simpler.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2016

2015
Hardware support for production run diagnosis of performance bugs.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Fast and Accurate Workload Characterization Using Locality Sensitive Hashing.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Fast and QoS-Aware Heterogeneous Data Center Scheduling Using Locality Sensitive Hashing.
Proceedings of the 7th IEEE International Conference on Cloud Computing Technology and Science, 2015

2014
Dynamically detecting and tolerating IF-Condition Data Races.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

2013
WeeFence: toward making fences free in TSO.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

Characterizing Real World Bugs Causing Sequential Consistency Violations.
Proceedings of the 5th USENIX Workshop on Hot Topics in Parallelism, 2013

2012
Effective architectural support for detecting concurrency bugs
PhD thesis, 2012

Vulcan: Hardware Support for Detecting Sequential Consistency Violations Dynamically.
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012

Pacman: Tolerating asymmetric data races with unintrusive hardware.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

2010
AtomTracker: A Comprehensive Approach to Atomic Region Inference and Violation Detection.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

2009
SigRace: signature-based data race detection.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009


  Loading...