Amir H. Ajami

According to our database1, Amir H. Ajami authored at least 11 papers between 1999 and 2006.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2006
Fast Interconnect and Gate Timing Analysis for Performance Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2006

SACI: statistical static timing analysis of coupled interconnects.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

2005
Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Modeling and Propagation of Noisy Waveforms in Static Timing Analysis.
Proceedings of the 2005 Design, 2005

2004
TFA: a threshold-based filtering algorithm for propagation delay and slew calculation of high-speed VLSI interconnects.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2001
Analysis and optimization of thermal issues in high-performance VLSI.
Proceedings of the 2001 International Symposium on Physical Design, 2001

Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs.
Proceedings of the 38th Design Automation Conference, 2001

Effects of non-uniform substrate temperature on the clock signal integrity in high performance designs.
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, 2001

Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points.
Proceedings of ASP-DAC 2001, 2001

1999
LEOPARD: a Logical Effort-based fanout OPtimizer for ARea and Delay.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999


  Loading...