Benjamin J. Fletcher

Orcid: 0000-0002-4957-1934

Affiliations:
  • University of Southampton, UK


According to our database1, Benjamin J. Fletcher authored at least 11 papers between 2017 and 2020.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
A Spike-Latency Transceiver With Tunable Pulse Control for Low-Energy Wireless 3-D Integration.
IEEE J. Solid State Circuits, 2020

A 3D-Stacked Cortex-M0 SoC with 20.3Gbps/mm<sup>2</sup> 7.1mW/mm<sup>2</sup> Simultaneous Wireless Inter-Tier Data and Power Transfer.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

2019
Design and Optimization of Inductive-Coupling Links for 3-D-ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Momentum: Power-neutral Performance Scaling with Intrinsic MPPT for Energy Harvesting Computing Systems.
ACM Trans. Embed. Comput. Syst., 2019

A Low-Energy Inductive Transceiver using Spike-Latency Encoding for Wireless 3D Integration.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

A 10.8pJ/bit Pulse-Position Inductive Transceiver for Low-Energy Wireless 3D Integration.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

CoDAPT: A Concurrent Data And Power Transceiver for Fully Wireless 3D-ICs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Globally Wireless Locally Wired (GloWiLoW): A Clock Distribution Network for Many-Core Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Low-power 3D integration using inductive coupling links for neurotechnology applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

A high-speed design methodology for inductive coupling links in 3D-ICs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Power neutral performance scaling for energy harvesting MP-SoCs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017


  Loading...