Dongkyu Park

According to our database1, Dongkyu Park authored at least 4 papers between 2006 and 2018.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
A 9-mm<sup>2</sup> Ultra-Low-Power Highly Integrated 28-nm CMOS SoC for Internet of Things.
IEEE J. Solid State Circuits, 2018

2017
Blackghost: An ultra-low-power all-in-one 28nm CMOS SoC for Internet-of-Things.
Proceedings of the 2017 IEEE Symposium in Low-Power and High-Speed Chips, 2017

2007
Noise-Aware Split-Path Domino Logic and its Clock Delaying Scheme.
J. Circuits Syst. Comput., 2007

2006
Low power LC-VCO design using direct cross-coupled cell biasing.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006


  Loading...