Michael Opoku Agyeman

Orcid: 0000-0002-3734-4451

According to our database1, Michael Opoku Agyeman authored at least 51 papers between 2011 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Fuzzy Gene Selection and Cancer Classification Based on Deep Learning Model.
CoRR, 2023

An Ageing-Aware and Temperature Mapping Algorithm for Multilevel Cache Nodes.
IEEE Access, 2023

A Novel Fuzzy Classifier Model for Cancer Classification Using Gene Expression Data.
IEEE Access, 2023

Enhancing Cancer Classification Through the Development of a Fuzzy Gene Selection-Wrapper Plus Method.
Proceedings of the IEEE International Conference on Artificial Intelligence in Engineering and Technology, 2023

Intersection Three Feature Selection and Machine Learning Approaches for Cancer Classification.
Proceedings of the International Conference on System Science and Engineering, 2023

2022
A Survey of Machine Learning Approaches Applied to Gene Expression Analysis for Cancer Prediction.
IEEE Access, 2022

Eye-Tracking Assistive Technologies for Individuals With Amyotrophic Lateral Sclerosis.
IEEE Access, 2022

Classification Techniques for Arrhythmia Patterns Using Convolutional Neural Networks and Internet of Things (IoT) Devices.
IEEE Access, 2022

Performance Evaluation for End-to-End Slice Management in 5G/B5G Cellular Networks.
Proceedings of the 30th International Conference on Software, 2022

Traffic Classification using Deep Learning Approach for End-to-End Slice Management in 5G/B5G.
Proceedings of the 13th International Conference on Information and Communication Technology Convergence, 2022

2021
On the Cooperative Relaying Strategies for Multi-Core Wireless Network-on-Chip.
IEEE Access, 2021

A Survey of Mobility Management as a Service in Real-Time Inter/Intra Slice Control.
IEEE Access, 2021

ABENA: An Ageing before Temperature Electromigration-Aware Neighbour Allocation for Many-Core Architectures.
Proceedings of the DSDE '21: 2021 4th International Conference on Data Storage and Data Engineering, 2021

2020
Heuristic Optimization for Microload Shedding in Generation Constrained Power Systems.
IEEE Access, 2020

2019
A Multi-modal Framework for Future Emergency Systems.
Proceedings of the 2019 IEEE SmartWorld, 2019

Optimal Demand Side Management in Generation Constrained Power Systems.
Proceedings of the 2019 IEEE SmartWorld, 2019

Enhancing Student Engagement in Multidisciplinary Groups in Higher Education.
Proceedings of the Informatics in Schools. New Ideas in School Informatics, 2019

Protection of Memory Using Code Redundancies: A Brief Study.
Proceedings of the ISCSIC 2019: 3rd International Symposium on Computer Science and Intelligent Control, 2019

High Performance Heterogeneous Multicore Architectures: A Study.
Proceedings of the ISCSIC 2019: 3rd International Symposium on Computer Science and Intelligent Control, 2019

A Study of FPGA-Based Supercomputing Platforms.
Proceedings of the ISCSIC 2019: 3rd International Symposium on Computer Science and Intelligent Control, 2019

A Home Rehabilitation System Motivating Stroke Patients with Upper and/or Lower Limb Disability.
Proceedings of the ISCSIC 2019: 3rd International Symposium on Computer Science and Intelligent Control, 2019

Design and Implementation of an IoT-Based Energy Monitoring System for Managing Smart Homes.
Proceedings of the Fourth International Conference on Fog and Mobile Edge Computing, 2019

Design and Implementation of a Wearable Device for Motivating Patients With Upper and/or Lower Limb Disability Via Gaming and Home Rehabilitation.
Proceedings of the Fourth International Conference on Fog and Mobile Edge Computing, 2019

Improving Electricity Network Efficiency and Customer Satisfaction in Generation Constrained Power System.
Proceedings of the 6th International Conference on Control, 2019

2018
Energy and performance-aware application mapping for inhomogeneous 3D networks-on-chip.
J. Syst. Archit., 2018

A Survey of System Level Power Management Schemes in the Dark-Silicon Era for Many-Core Architectures.
EAI Endorsed Trans. Ind. Networks Intell. Syst., 2018

On wearable devices for motivating patients with upper limb disability via gaming and home rehabilitation.
Proceedings of the Third International Conference on Fog and Mobile Edge Computing, 2018

A Survey of Low Power Design Techniques for Last Level Caches.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

2017
A Resilient 2-D Waveguide Communication Fabric for Hybrid Wired-Wireless NoC Design.
IEEE Trans. Parallel Distributed Syst., 2017

On the Nanocommunications at THz Band in Graphene-Enabled Wireless Network-on-Chip.
CoRR, 2017

A survey of low power NoC design techniques.
Proceedings of the 2nd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, 2017

2016
Performance and Energy Aware Inhomogeneous 3D Networks-on-Chip Architecture Generation.
IEEE Trans. Parallel Distributed Syst., 2016

An Efficient 2D Router Architecture for Extending the Performance of Inhomogeneous 3D NoC-Based Multi-Core Architectures.
Proceedings of the 2016 International Symposium on Computer Architecture and High Performance Computing Workshops, 2016

An Efficient Channel Model for Evaluating Wireless NoC Architectures.
Proceedings of the 2016 International Symposium on Computer Architecture and High Performance Computing Workshops, 2016

On Improving the Performance of Hybrid Wired-Wireless Network-on-Chip Architectures.
Proceedings of the 9th International Workshop on Network on Chip Architectures, 2016

SlideAcross: A Low-Latency Adaptive Router for Chip Multi-processor.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

An Analytical Channel Model for Emerging Wireless Networks-on-Chip.
Proceedings of the 2016 IEEE Intl Conference on Computational Science and Engineering, 2016

2015
Unbiased Regional Congestion Aware Selection Function for NoCs.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Novel Hybrid Wired-Wireless Network-on-Chip Architectures: Transducer and Communication Fabric Design.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

On the Design of Reliable Hybrid Wired-Wireless Network-on-Chip Architectures.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

An Improved Wireless Communication Fabric for Emerging Network-on-Chip Design.
Proceedings of the 10th International Conference on Future Networks and Communications (FNC 2015) / The 12th International Conference on Mobile Systems and Pervasive Computing (MobiSPC 2015) / Affiliated Workshops, 2015

Towards reliability and performance-aware Wireless Network-on-Chip design.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Optimizing heterogeneous 3D networks-on-chip architectures for low power and high performance applications.
PhD thesis, 2014

2013
Efficient routing techniques in heterogeneous 3D Networks-on-Chip.
Parallel Comput., 2013

Heterogeneous 3D Network-on-Chip Architectures: Area and Power Aware Design Techniques.
J. Circuits Syst. Comput., 2013

Optimised Application Specific Architecture Generation and Mapping Approach for Heterogeneous 3D Networks-on-Chip.
Proceedings of the 16th IEEE International Conference on Computational Science and Engineering, 2013

A systematic generation of optimized heterogeneous 3D Networks-on-Chip architecture.
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013

2011
Power and area optimisation in heterogeneous 3D networks-on-chip architectures.
SIGARCH Comput. Archit. News, 2011

Optimising Heterogeneous 3D Networks-on-Chip.
Proceedings of the Sixth International Symposium on Parallel Computing in Electrical Engineering (PARELEC 2011), 2011

An adaptive router architecture for heterogeneous 3D Networks-on-Chip.
Proceedings of the 2011 NORCHIP, Lund, Sweden, November 14-15, 2011, 2011

Low power heterogeneous 3D Networks-on-Chip architectures.
Proceedings of the 2011 International Conference on High Performance Computing & Simulation, 2011


  Loading...