Mikhail Asiatici

Orcid: 0000-0002-8050-0042

According to our database1, Mikhail Asiatici authored at least 14 papers between 2016 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Request, Coalesce, Serve, and Forget: Miss-Optimized Memory Systems for Bandwidth-Bound Cache-Unfriendly Applications on FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2022

2021
Miss-Optimized Memory Systems: Turning Thousands of Outstanding Misses into Reuse Opportunities.
PhD thesis, 2021

How Many CPU Cores is an FPGA Worth? Lessons Learned from Accelerating String Sorting on a CPU-FPGA System.
J. Signal Process. Syst., 2021

Large-Scale Graph Processing on FPGAs with Caches for Thousands of Simultaneous Misses.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

2020
FPGAs in the Datacenters: the Case of Parallel Hybrid Super Scalar String Sample Sort.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
Snap-On User-Space Manager for Dynamically Reconfigurable System-on-Chips.
IEEE Access, 2019

In Search of Lost Bandwidth: Extensive Reordering of DRAM Accesses on FPGA.
Proceedings of the International Conference on Field-Programmable Technology, 2019

DynaBurst: Dynamically Assemblying DRAM Bursts over a Multitude of Random Accesses.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Stop Crying Over Your Cache Miss Rate: Handling Efficiently Thousands of Outstanding Misses in FPGAs.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

2018
Through-Glass Vias for Glass Interposers and MEMS Packaging Applications Fabricated Using Magnetic Assembly of Microscale Metal Wires.
IEEE Access, 2018

LEOSoC: An Open-Source Cross-Platform Embedded Linux Library for Managing Hardware Accelerators in Heterogeneous System-on-Chips(Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

FPGAs in the Datacenters: the Case of Parallel Hybrid Super Scalar String Sample Sort (pHS<sup>5</sup>)(Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

2017
Virtualized Execution Runtime for FPGA Accelerators in the Cloud.
IEEE Access, 2017

2016
Designing a virtual runtime for FPGA accelerators in the cloud.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016


  Loading...