Miryeong Kwon

Orcid: 0000-0002-0313-1319

According to our database1, Miryeong Kwon authored at least 32 papers between 2016 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
DockerSSD: Containerized In-Storage Processing and Hardware Acceleration for Computational SSDs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2023
Realizing Strong Determinism Contract on Log-Structured Merge Key-Value Stores.
ACM Trans. Storage, May, 2023

Failure Tolerant Training With Persistent Memory Disaggregation Over CXL.
IEEE Micro, 2023

Memory Pooling With CXL.
IEEE Micro, 2023

CXL-ANNS: Software-Hardware Collaborative Memory Disaggregation and Computation for Billion-Scale Approximate Nearest Neighbor Search.
Proceedings of the 2023 USENIX Annual Technical Conference, 2023

GraphTensor: Comprehensive GNN-Acceleration Framework for Efficient Parallel Processing of Massive Datasets.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

Cache in Hand: Expander-Driven CXL Prefetcher for Next Generation CXL-SSD.
Proceedings of the 15th ACM/USENIX Workshop on Hot Topics in Storage and File Systems, 2023

2022
PreGNN: Hardware Acceleration to Take Preprocessing Off the Critical Path in Graph Neural Networks.
IEEE Comput. Archit. Lett., 2022

Vigil-KV: Hardware-Software Co-Design to Integrate Strong Latency Determinism into Log-Structured Merge Key-Value Stores.
Proceedings of the 2022 USENIX Annual Technical Conference, 2022

Direct Access, High-Performance Memory Disaggregation with DirectCXL.
Proceedings of the 2022 USENIX Annual Technical Conference, 2022

LightPC: hardware and software co-design for energy-efficient full system persistence.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

What you can't forget: exploiting parallelism for zoned namespaces.
Proceedings of the HotStorage '22: 14th ACM Workshop on Hot Topics in Storage and File Systems, Virtual Event, June 27, 2022

Large-scale Graph Neural Network Services through Computational SSD and In-Storage Processing Architectures.
Proceedings of the 2022 IEEE Hot Chips 34 Symposium, 2022

Hardware/Software Co-Programmable Framework for Computational SSDs to Accelerate Deep Learning Service on Large-Scale Graphs.
Proceedings of the 20th USENIX Conference on File and Storage Technologies, 2022

2021
Revamping Storage Class Memory With Hardware Automated Memory-Over-Storage Solution.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Empirical Guide to Use of Persistent Memory for Large-Scale In-Memory Graph Analysis.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

2020
Errata to "Exploring Fault-Tolerant Erasure Codes for Scalable All-Flash Array Clusters".
IEEE Trans. Parallel Distributed Syst., 2020

Design of a Host Interface Logic for GC-Free SSDs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

FastDrain: Removing Page Victimization Overheads in NVMe Storage Stack.
IEEE Comput. Archit. Lett., 2020

DC-Store: Eliminating Noisy Neighbor Containers using Deterministic I/O Performance and Resource Isolation.
Proceedings of the 18th USENIX Conference on File and Storage Technologies, 2020

Scalable Parallel Flash Firmware for Many-core Architectures.
Proceedings of the 18th USENIX Conference on File and Storage Technologies, 2020

2019
Exploring Fault-Tolerant Erasure Codes for Scalable All-Flash Array Clusters.
IEEE Trans. Parallel Distributed Syst., 2019

Faster than Flash: An In-Depth Study of System Challenges for Emerging Ultra-Low Latency SSDs.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

FlashGPU: Placing New Flash Next to GPU Cores.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
SimpleSSD: Modeling Solid State Drives for Holistic System Simulation.
IEEE Comput. Archit. Lett., 2018

FlashShare: Punching Through Server Storage Stack from Kernel to Firmware for Ultra-Low Latency SSDs.
Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation, 2018

Amber*: Enabling Precise Full-System Simulation with Detailed Modeling of All SSD Resources.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory.
Proceedings of the 10th USENIX Workshop on Hot Topics in Storage and File Systems, 2018

Exploring System Challenges of Ultra-Low Latency Solid State Drives.
Proceedings of the 10th USENIX Workshop on Hot Topics in Storage and File Systems, 2018

2017
TraceTracker: Hardware/software co-evaluation for large-scale I/O workload reconstruction.
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017

Understanding system characteristics of online erasure coding on scalable, distributed and large-scale SSD array systems.
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017

2016
ROSS: A Design of Read-Oriented STT-MRAM Storage for Energy-Efficient Non-Uniform Cache Architecture.
Proceedings of the 4th Workshop on Interactions of NVM/Flash with Operating Systems and Workloads, 2016


  Loading...