Mohamed Nekili

According to our database1, Mohamed Nekili authored at least 15 papers between 1993 and 2012.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
A novel theory on parallel repeater-insertion methodologies for long on-chip interconnects.
Int. J. Circuit Theory Appl., 2012

2008
On Modeling of Parallel Repeater-Insertion Methodologies for SoC Interconnects.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

2005
Simultaneous adaptive wire adjustment and local topology modification for tuning a bounded-skew clock tree.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

A novel 2 GHz multi-layer AMBA high-speed bus interconnect matrix for SoC platforms.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
Optimal partitioning of globally asychronous locally synchronous processor arrays.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
Adaptive wire adjustment for bounded skew Clock Distribution Network.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Quadratic deferred-merge embedding algorithm for zero skew clock distribution network.
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002

A novel double edge-triggered pulse-clocked TSPC D flip-flop for high-performance and low-power VLSI design applications.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

2001
Minimizing process-induced skew using delay tuning.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

1999
Spatial characterization of process variations via MOS transistor time constants in VLSI and WSI.
IEEE J. Solid State Circuits, 1999

1998
Design of Clock Distribution Networks in Presence of Process Variations.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

1997
Pipelined H-trees for high-speed clocking of large integrated systems in presence of process variations.
IEEE Trans. Very Large Scale Integr. Syst., 1997

1994
A Fast Low-Power Driver for Long Interconnections in VLSI Systems.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1993
Parallel Regeneration of Interconnections in VLSI & ULSI Circuits.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993


  Loading...