Pedro Chaparro

According to our database1, Pedro Chaparro authored at least 24 papers between 2004 and 2011.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2011
CROB: Implementing a Large Instruction Window through Compression.
Trans. High Perform. Embed. Archit. Compil., 2011

Implementing End-to-End Register Data-Flow Continuous Self-Test.
IEEE Trans. Computers, 2011

Thread shuffling: combining DVFS and thread migration toreduce energy consumptions for multi-core systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Control-Flow Recovery Validation Using Microarchitectural Invariants.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

2010
Microarchitectural Online Testing for Failure Detection in Memory Order Buffers.
IEEE Trans. Computers, 2010

Thread-management techniques to maximize efficiency in multicore and simultaneous multithreaded microprocessors.
ACM Trans. Archit. Code Optim., 2010

Energy efficiency via thread fusion and value reuse.
IET Comput. Digit. Tech., 2010

Virtual Distributed Simulation Platform for the Study and Optimization of Future Beyond 3G Heterogeneous Systems.
Proceedings of the Mobile Lightweight Wireless Systems, 2010

High-Performance low-vcc in-order core.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

The split register file.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Low Vccmin fault-tolerant cache with highly predictable performance.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

Dynamic thermal management using thin-film thermoelectric cooling.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

End-to-end register data-flow continuous self-test.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Online error detection and correction of erratic bits in register files.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

2008
On-line Failure Detection in Memory Order Buffers.
Proceedings of the 2008 IEEE International Test Conference, 2008

Thread fusion.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

On-Line Failure Detection and Confinement in Caches.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Issue system protection mechanisms.
Proceedings of the 26th International Conference on Computer Design, 2008

Meeting points: using thread criticality to adapt multicore hardware to parallel regions.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008

2007
Understanding the Thermal Implications of Multi-Core Architectures.
IEEE Trans. Parallel Distributed Syst., 2007

Building a large instruction window through ROB compression.
Proceedings of the 2007 workshop on MEmory performance, 2007

2006
Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

2005
Distributing the Frontend for Temperature Reduction.
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005

2004
Thermal-Aware Clustered Microarchitectures.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004


  Loading...